Electric Motor Test Bench

I am currently desiging a small AC Motor Test Bench to test motors on the production line. I am trying to decide the best way to detect a break in the light curtain. A beak in the light curtain will be removing all line voltages from the bench top and will also reset the vi to await a start signal from an operator push button. I have a NI cDAQ 9178 with a NI 9205 and six NI 9481 modules.
I do have a extra relay contact in my safety circuit that I can pass a 0-10V signal through to the 9205. I am considering using a 120VAC to 9VDC transformer to generate a control voltage. I would then use the control voltage as an input into the 9205. I could wire my start button, safety relay and stop button in series. The safety signal would be normally closed and the stop and start would be normally open. I do not need this to be real fast detection by the circuit but would like it to be under 1 second.
Is this the right approach?
How should I write this into my vi to detect an input even is a sub-vi is running?

So, a question:
Are you performing any other acquisitions with your 9205?  If so, are they hardware-timed?  I believe the 9205 has a single clock (I might be wrong about that, you'll have to check), which means that you can only run a single hardware-timed task with it.  This may not be relevant, but it's something to note.
Since the detection doesn't have to be very fast, you could set up a software-timed task to read the line which has your "stop" signal.  (That avoids any sample clock conflicts.)  You'll want that task in its own loop, in parallel with the rest of your code, so that it runs all the time.
When you detect a "stop" signal, you'll need to take action in the other software loop(s).  I'd use an Action Engine (search the forum if you're not familiar with it) to set a flag which is read by the other loop(s).  Use the flag to trigger whatever shutdown actions are necessary.  Your "stop" monitor loop will write to the Action Engine -- your other loop(s) will read from it.
Does that help get you started?

Similar Messages

  • How to edit "Station ID" to "Test Bench ID" name in HTML Report (TestStand 4.2)...?

    Hi Folks,
    I have regular HTML report from TestStand 4.2; particularly i want to change the header from "Station ID" name to "Test Bench ID" name.
    Can anyone help me on this...?
    Thanks for your help...!!!
    SB_LV

    Here are just 3 options:
    1- Probably the easiest and best option.  Open this file: C:\Program Files\National Instruments\TestStand 4.2\Components\Language\English\ModelStrings.ini.  Search for RPT_HEADER_STATION_ID.  Replace the Station ID in the quotes with Test Bench ID:. The ModelStrings.ini is a resource strings file that contains a bunch of basically "global values" that TS references. 
    2- If you don't want to change your resource strings then open this sequence file: C:\Program Files\National Instruments\TestStand 4.2\Components\Models\TestStandModels\reportgen_ht​ml.seq.  Open the sequence in there titled- AddReportHeader.  Find the step named- Add Station ID.  It should be a statement step.  In the Expression replace ResStr("MODEL", "RPT_HEADER_STATION_ID") with "Test Bench ID:".  This directly edits your process model and every sequence ran on the machine will see the change.
    3- Add the Modify Report Header callback to your sequence file.  In there you will have ot search the Parameters.ReportHeader string for Station ID: and replace it with Test Bench ID.  This will not affect the process model. 
    Hope that helps,
    jigg
    CTA, CLA
    teststandhelp.com
    ~Will work for kudos and/or BBQ~

  • Example of Test Bench for multiple FPGA I/O items

    Hi,
    I'm looking for an example on creating a Test Bench that simulates multiple FPGA I/O digital lines.  
    I've gone through the Creating Test Benches tutorial but it only uses a single I/O item.  Read I/O Item Name.vi is obviously an important part of this but I'm not sure how to structure the VI and assign the name (especially since things seem to get wired up magically for a single IO item).
    Any pointers to examples would be greatly appreciated.
    Thanks,
    Steve
    Solved!
    Go to Solution.

    >>What kind of hardware are you using? 
    In this case, NI 9401, but we will be expanding to cover several different module types
    >>Did you look in the NI Example Finder? 
    Yes.  There are two (in Labview 2011) returned with searching for "testbenches" that are very basic.
    >>Which tutorial are you talking about?
    http://zone.ni.com/reference/en-XX/help/371599H-01/lvfpgaconcepts/test_bench_tutorial/
    This shows how to use a Template from the "Execute VI on Development Computer with Simulated I/O" option on the Debugging tab of the FPGA Target Properties. The template covers a single input and it's not clear to me how to simulate more than a single input.
    Steve

  • Can any one please tell me how to write labview program for data logging in electric motor bike.

    Can any one please tell me how to write labview program for data logging in electric motor bike. I am going to use CompactRIO for getting wide range of data from various sensors in bike. I need to write labview program for data logging of temperature, voltage and speed of the bike. Can any one help me?

    Yes, we can.   
    I think the best place for you to start for this is the NI Developer Zone.  I recommend beginning with these tutorials I found by searching on "data log rio".  There were more than just these few that might be relevant to your project but I'll leave that for you to decide.
    NI Compact RIO Setup and Services ->  http://zone.ni.com/devzone/cda/tut/p/id/11394
    Getting Started with CompactRIO - Logging Data to Disk  ->  http://zone.ni.com/devzone/cda/tut/p/id/11198
    Getting Started with CompactRIO - Performing Basic Control ->  http://zone.ni.com/devzone/cda/tut/p/id/11197
    These will probably give you links to more topics/tutorials/examples that can help you design and implement your target system.
    Jason
    Wire Warrior
    Behold the power of LabVIEW as my army of Roomba minions streaks across the floor!

  • Electric motor

    Hi Guys,
    I am trying to control the direction of an electric motor from Labview, the motor's direction varies from 0V-10V. I am using NI myDaQ, can anyone please help me out with some sort of VI to do this. I really need your feedback on this, thanks.

    Hi NIquist
    I appreciate your reply, this is part of my project. For the first part I built a VI for measuring temperature using a PT100, I also want to control the polarity of an electric motor. This Electric motor will control the position of a damper. SO what I want to do is that when I get my motor rotating either ways, I can control the psoition of the damper depending on what set point I have for my temp measurement.  
    1. can one use DAQ assistant to measure temperature and Daqmx in the same program ?
    I have also attached my temperature reading VI to this so u can see.
    Attachments:
    PID.vi ‏74 KB

  • Verilog test bench error -- all vcd dumpvars must be executed at the same simulation time

    Hi Folks,
    I have ISim version 13.1.
    During simulation of a large module with many sub modules and instances, I want to be able to dump objects to a .vcd file.
    However when I use the construct
    @$dumpvars (0, module_name.sub_module_Name.signal_name);
    where these names are known within the test bench, I get an error message:
    error: Instance: /module_name/: All vcd dumpvars must be executed at same simulation time.
    I do not understand what that means and what to do about it.
    Can some one help ?
    By the way, when I eliminate the signal_name in the above construct, all signals do get dumped to the .vcd file...
    I did not enclose the source code as it is too large...

    On WLS CONSOLE, go to StartupClasses and make sure JAXWS class is target it to the managed server which you are deploying this webservice.
    Kinds,
    Gabriel Abelha

  • Test Bench Scripting Language

    Hi,
    I am an intern at a company which is wanting me to create a scripting language and compiler that would be capable of controlling several instruments on their test bench through GPIB, as well as use the PXI-7833R to communicate to one of their devices over SPI.  I would consider myself an intermediate LabVIEW user that has never really worked with any type of VI which involved parsing scripts or the like.
    Is there any application other than LabVIEW that would be more suited for this type of application.  I plan to have to use several dll's written in C++ to make things easier on myself, but I wasn't sure if I was trying to re-invent the wheel here or if there was something like this that had already been done that I could refer to. 
    Any help that anyone can give on this subject would be greatly appreciated!  Thanks.

    Thank you all for responding so quickly, all of your help is very appreciated.  I will have to go through many of these languages to determine which option is going to be the best suited solution for this.  Other than TestStand and Python I really have not heard of any of the others.
    I have brought up the idea of using TestStand to the team that is going to be primarily using this application and they have said that many of them have no experience at all with LabVIEW and don't really feel comfortable with the idea of using something like that to do this.  They all say that they would feel much more at home with a text based language that they can all pick up on easily.  Which, obviously creates more work for me because I have to be able to document all of this so that it is easily to manipulate by others...
    I am looking into the LuaVIEW interface right now, I will definitely look into all of the others later and let you all know how things are progressing.  If anyone else has any other ideas for ways to make this easier I would greatly greatly appreciate it.
    I have one more question which I will really just need once I get the parsing done.  As I said in my first post I will be using an FPGA for communicating with a device that will be constantly relaying information back from an A/D.  In order to conserve space on the FPGA I am thinking of somehow parsing the code in a way that the commands that only concern the GPIB instruments will be run from the host VI and the code for communcating with the device will be loaded onto the FPGA Target VI.  Does this sound like the best way to go about this and do you have any ideas for how to do the separation?
    Thank you,
    Tyler

  • How to control an electric motor using LabVIEW?

    Hi,
    is there a simple way to control the rotation speed of a electric motor (12V) using LabVIEW?
    I have an idea how to achieve this by using NI measurment card, by its not that cheap. Any ideas?
    Maciek.
    LabView 2009.
    Solved!
    Go to Solution.

    Rubid wrote:
    Let's complicate the problem. Is there a way to control the output voltage of the USB port in my PC?
    Do you know what a USB-RS232 converter is??
    Buy one together with that power supply and your problem is solved
    What do you mean with "control the output voltage of the USB port" do you want to drive the motor directly from the USB port

  • Several VHDL Modules in One Test Bench

    I am working with a image system consisting of three major parts:
    - A CCD array
    - A FPGA card
    - A frame grabber
    I have written one VHDL module for every component and now I would like to simulate them in one test bench. Is it possible to include several modules in one test bench? Or do I need to instantiate two of them as components?
    Thanks in advance!

    johnju wrote:
    I am working with a image system consisting of three major parts:
    - A CCD array
    - A FPGA card
    - A frame grabber
    I have written one VHDL module for every component and now I would like to simulate them in one test bench. Is it possible to include several modules in one test bench? Or do I need to instantiate two of them as components?
    You certainly can simulate an entire system. There is no reason why you cannot.
    I generally create system simulation test benches which have the FPGA as just one component. So in your case, you'd model the CCD, find or write models for the converters, wire them to the FPGA, and then write models for the transport between the FPGA and the frame grabber card, and finally finally you will have to write a model of the frame grabber as a data sink.
    It can be a lot of work, but if you want to prove out your system, and also exercise the FPGA with real stimulus, this is the way to go.
    And if you feel the need to do a post-place-and-route timing simulation, if you are clever and use VHDL configurations, you can simply swap the timing model for the FPGA source in the test bench's instantiation of the DUT.

  • Immediate Small Project - Test Bench Data Acquisition, Processing, & Basic Automation

    I am need of a Labview Programmer to assist immediately on a test bench setup.  The sepcifics are as follows....
    Acquire data from 16 LVDT with 4-20 mA signal simultaneously at a single time.
    Convert to distance using bit resolution conversion.
    Calculate best fit plane for data points, given a (x,y) location of each sensor, where the signal is the z coordinate.
    Calculate properties of part with distance from best fit plane to individual LVDT points and report to LabView SPC.
    Trigger 24 VDC I/O to actuate pneumatic solenoids with timing delays to provide throughput through test station.
    Trigger data acquisition when part is present in test area of machine.
    Requested completion time is one week.  Project is located in Clover, SC outside of Charlotte, NC.  Remote work is acceptable.
    Please email me if interested in project. [email protected]

    I am need of a Labview Programmer to assist immediately on a test bench setup.  The sepcifics are as follows....
    Acquire data from 16 LVDT with 4-20 mA signal simultaneously at a single time.
    Convert to distance using bit resolution conversion.
    Calculate best fit plane for data points, given a (x,y) location of each sensor, where the signal is the z coordinate.
    Calculate properties of part with distance from best fit plane to individual LVDT points and report to LabView SPC.
    Trigger 24 VDC I/O to actuate pneumatic solenoids with timing delays to provide throughput through test station.
    Trigger data acquisition when part is present in test area of machine.
    Requested completion time is one week.  Project is located in Clover, SC outside of Charlotte, NC.  Remote work is acceptable.
    Please email me if interested in project. [email protected]

  • Relay automatic test bench

    I'm writing to ask some help about automatization of relay/switch based testbench.
    The main idea is to command pass/not to pass signals (150 Vac @400 Hz for three-phase power,
    about 30 Vdc and 2 A) according to an established tests order.
    The system dialogues via GPIO (or other types of communication) in order to set correct voltage supply and other settings.
    The outputs to verify tests are:
    - voltage readings
    - current  readings (max 6Adc)
    - oscilloscope (frequency (max1200 Hz) and amplitude (10-20V); activation time between imposing input and getting output)
    - PC screen readings (sent from device under test to RS-422)
    Test implementation is led to pursue a step-by-step view.
    Is it possible to implement this system via NI software?
    I've seen NI Switch, but I don't understand if it is possible to implement this kind of system .
    I look forward to your answer and if you need other specs.
    Thank you.
    Barkley

    Hi Eric,
    I answer point by point.
    "I can comment most about the Switches you would need. You first mentioned 150V, 400Hz, then 30VDC and 2A, and a maximum current reading of 6A. How much AC current does your three phase need to supply? Would the 6A maximum current be just a quick current spike or steady state current?" 
    I have one three-phase 115Vac and 2mA (AC) supply, one three-phase 55 Vac and 6,5A @ 1585 Hz (max value) supply and one DC supply 28Vdc and 2 A max. Another supply can be derived from the previous ones to feed lower voltage (1Vac 120mA @ about 500 Hz) loads."
    There are a few modules that I would look at for your system:
    PXI-2586 - 10 channel SPST, 300VDC CAT II, 12A max current
    PXI-2568 - 31 channel SPST, 150VDC, 2A max current
    PXI-2567 - 64x1 channel multiplexer, 300 V CAT I, 2A max current
    "How many devices will you need to be testing at once? We also have the PXI-2585, a 10 channel 12A multiplexer (rather than SPST) so you can route power to one of 10 different DUTs."
    Ten DUTs are a good number for my test bench, if security requirements and test correctness are fullfilled (i.e. time parallel test procedure). The minimum number is 1  or 2 at once.
    "We also have high speed digitizers that could function as your oscilloscope."
    My idea is to attach several equipments to a "NI relay card" (i.e oscilloscope, amperometer, voltmeter, multimeter ecc. ecc.), piloted by PC via bus in order to setup meters and record data about th test.
    " I would contact your local sales engineer who can help spec out the whole system for you. You can find your sales engineer by going to ni.com and clicking 'contact us' in the upper right."
    Thanks for your attention and time. I write down a document with main specification to get  a better system view of test bench .

  • What the heck, why are Test benches so expensive!?

    No idea..
    But on a similar note, I plati-dipped my rims and brake calipers.  They turned out nice. 

    So I was thinking of plasti dipping or vynil wrapping my PC case at home just to give it a change on the color. Of course I will want to use my PC to watch something online or play a game while working on my case so I will need something to put it in. I thought I should just get one of those open test benches for PC because its easy to install and remove. I was looking for some online thinking it was an easy $20 for a good one. NOPE.. A good one will run you $100. Literally the cheapest one I found was $45. Why are these things so expensive? Im probably going to take a pc from work and make my own.
    This topic first appeared in the Spiceworks Community

  • Long motor test error did not reach target speed in time.  fan runs all the time.  sensor is connected.  do I have a bad fan?   speed in time speed

    ran apple hardware diagnostics.  fan - master - long motor test error:  did not reach target speed in time.  fan runs all the time.  sensor is connected.  do I have a bad fan? 

    ran apple hardware diagnostics.  fan - master - long motor test error:  did not reach target speed in time.  fan runs all the time.  sensor is connected.  do I have a bad fan? 

  • About ISIM simulator and Test Bench with verilog, output .txt to Matlab

     
    Software  :  ISE 14.3
    Simulator : ISim by xilinx.
    I am a beginner to learn the verilog and FPGA.
    I want to use FPGA to process image. After processing, I require to read the processed data into  Matlab  for matching the results .  
    I have  stored  256*256,8bit image into the ROM. 
    This is my module.
    module image_top
    input clka,
    input ena,
    input rst_n,
    output [7:0] douta,
    output reg rd_stop
    reg[15:0]addra;
    always@(posedge clka or negedge rst_n)
    begin
    if(!rst_n)
    addra <= 0;
    else if(ena)
    addra <= addra + 1;
    else
    addra <= addra;
    end
    wire rd_stop_flag;
    assign rd_stop_flag = (addra == 65535-1)?1:0;
    //reg rd_stop;
    always@(posedge clka or negedge rst_n)
    begin
    if(!rst_n)
    rd_stop <= 0;
    else if(rd_stop_flag)
    rd_stop <= 1;
    else
    rd_stop <= rd_stop;
    end
    ImageMem u_imgemem
    .clka (clka), // input clka
    .ena (ena&&!rd_stop), // input ena
    .addra (addra), // input [15 : 0] addra
    .douta (douta) // output [7 : 0] douta
    endmodule
    This is my  TestBench. I am not sure this is correct.
    `timescale 1ns / 1ps
    // Company:
    // Engineer:
    module TB_top;
    // Inputs
    reg clka;
    reg ena;
    reg rst_n;
    // Outputs
    wire [7:0] douta;
    wire rd_stop;
    // Instantiate the Unit Under Test (UUT)
    image_top uut
    .clka(clka),
    .ena(ena),
    .rst_n(rst_n),
    .douta(douta),
    .rd_stop(rd_stop)
    integer fp_w,j;
    initial
    begin
    // Initialize Inputs
    clka = 0;
    ena = 0;
    rst_n = 0;
    fp_w = $fopen("image_out.txt","w");
    // Wait 100 ns for global reset to finish
    #100 rst_n =1;
    #20 ena = 1;
    // Add stimulus here
    for(j = 0; j < 65536; j= j+1)
    begin
    $fwrite(fp_w,"%d\n",douta);
    end
    $fclose(fp_w);
    end
    always #5 clka = ~clka;
    endmodule
    This is my TestBench.
    After synthesis, In the  project,there is a' image_out.txt' file,but the datas in it  are  all  zero.
    I wonder what is the problem?
    This is my simulation waveform by ISIM.
    I wonder if ISIM isn't  good to support ''for-loop" or system command like $fwrite?
    If true, I have to install the modelsim  simulator.
    Thank you for your  reply!
     

    The bug is in your testbench.
    Inside the for loop, you haven't given any opportunity for time to advance. So, the entire 64K iterations of the loop happen "instantaneously" at the same moment in time. This means that there have been no clock periods inside the loop - you are effectively writing the same output of your design (which is 0) 64K times. Advancing time is necessary since your address counter only changes state once per clock period; so all the fwrite's of dout are getting the data from the same address location.
    At very least you need to put an "@(posedge clk)" or at least a #10 inside your for loop to allow time to progress (so that you get the output of the module on different clock periods).
    Avrum

  • Can I use Intel 815EP AGP 4X Pentium and plug in 5 Nos NI 6002 PCI counter Timer cards, instead of going for expensive PXI based solution? Hope there will be no degradation in performance - when used for constructing an Energy Meter test Bench.

    I was recommended to buy NI 6002 with PXI series, 5 Nos cards($875x 5 nos), and additionally a PXI rack costing about $ 2195 + some min--- card to interface with the computer costing another $ 875. Compare this with $ 675 x 5 Nos for 5 Nos PCI based NI 6002 + some cablesa nd connectors for synchronisation.
    First option is extremely expensive. But NI marketin manager will be glad that he sold more harware. But I want the truth. Why can't Intel 815 Pentium board be used?

    I am addressing the question if using PCI-6602s instead of using PXI-6602s will affect the performance, and the answer is NO. 6602 counter/timer devices comes in two different form factors, PXI/Compac PCI and PCI. The accuracy and performance is the same for both the PXI and PCI 6602s. However, using PXI solution will allow users an easier time with synchronization since that is the provided in the backplane of the PXI chassis. Additionally, if you ever need a higher accuracy solution you can add the 6608 device which has 75 parts per billion ppb vs 50 ppm of the 6602 devices. With 6608 plugged in the second slot of the PXI chassis (the one next to the controller), the high accuracy timebase is automatically shared acrossed the backplane of the PXI chassis which mean
    s that other 6602 devices in the chassis will share the same accuracy of the 6608. If the difference in the accuracy is not a concern and you are currently not ready to invest in the PXI platform, then you can go with the PCI 6602 devices.
    Best Regards,
    June Zhu
    National Instruments
    Digital and Timing Product Support Engineer

Maybe you are looking for

  • What does GPRS mean? what is it? what is used for?

    I have a nokia device that says it supports GPRS as a conectivity option, so......what is GPRS? what is used for? Could anyone explain please, explain it to me? Thanks.

  • Cc-header

    hi together. public void sendMail(String body, String subject, String sender, String to, String copy) { String server = "srv.domain.com"; String toList = null; Properties props = new Properties(); props.put("mail.smtp.host", server); props.put("mail.

  • Page too complex error message

    Hi all; new to this forum. I have a HP2840 laser (color and black and white) all in one printer which is appx. 1 year old. I keep getting error message "page too complex" and cannot print page. Also, the printer always took a long time to print anyth

  • Trouble installing RAW plugin for Elements 10

    Getting "Installation failed" when I try to install the 6.6 RAW format plugin for Elements 10.  This occurs only on my 32-bit Windows 7 system; when I install on my 64-bit Windows 7 system everything works fine. Help?

  • Time Machine Backup through BT Homehub 2

    Hi All, Have just purchased a WD My Book Live that I am running through a BT Homehub. I have selected the drive as the location for backup using Time Machine. It starts to backup fine but soon stops and the internet cuts out.  The only way to get it