Xilinx Compilation Error: HDLCompiler:432 Formal eiosignal has no actual or default value

Hi,
I have compiled several programs for sbRIOs previously but have not run into compilation errors before. I can't seem to find any support to see what is actually going poorly. Any help with this would be appreciated!
The Compilation Status summary is as follows: 
LabVIEW FPGA: The compilation failed due to a xilinx error.
Details:
ERROR:HDLCompiler:432 - "C:\NIFPGA\jobs\R6n310u_Z1R8lYC\NiFpgaAG_00000031_SequenceFrame.vhd" Line 87: Formal <eiosignal> has no actual or default value.
INFO:TclTasksC:1850 - process run : Synthesize - XST is done.
INFO:HDLCompiler:1408 - "C:\NIFPGA\jobs\R6n310u_Z1R8lYC\NiFpgaAG_00000032_CustomNode.vhd" Line 18. eiosignal is declared here
ERROR:HDLCompiler:432 - "C:\NIFPGA\jobs\R6n310u_Z1R8lYC\NiFpgaAG_00000031_SequenceFrame.vhd" Line 106: Formal <eiosignal> has no actual or default value.
INFO:HDLCompiler:1408 - "C:\NIFPGA\jobs\R6n310u_Z1R8lYC\NiFpgaAG_00000033_CustomNode.vhd" Line 18. eiosignal is declared here
ERROR:HDLCompiler:432 - "C:\NIFPGA\jobs\R6n310u_Z1R8lYC\NiFpgaAG_00000031_SequenceFrame.vhd" Line 125: Formal <eiosignal> has no actual or default value.
INFO:HDLCompiler:1408 - "C:\NIFPGA\jobs\R6n310u_Z1R8lYC\NiFpgaAG_00000034_CustomNode.vhd" Line 18. eiosignal is declared here
ERROR:HDLCompiler:432 - "C:\NIFPGA\jobs\R6n310u_Z1R8lYC\NiFpgaAG_00000031_SequenceFrame.vhd" Line 144: Formal <eiosignal> has no actual or default value.
INFO:HDLCompiler:1408 - "C:\NIFPGA\jobs\R6n310u_Z1R8lYC\NiFpgaAG_00000035_CustomNode.vhd" Line 18. eiosignal is declared here
ERROR:HDLCompiler:432 - "C:\NIFPGA\jobs\R6n310u_Z1R8lYC\NiFpgaAG_00000031_SequenceFrame.vhd" Line 163: Formal <eiosignal> has no actual or default value.
INFO:HDLCompiler:1408 - "C:\NIFPGA\jobs\R6n310u_Z1R8lYC\NiFpgaAG_00000036_CustomNode.vhd" Line 18. eiosignal is declared here
ERROR:HDLCompiler:432 - "C:\NIFPGA\jobs\R6n310u_Z1R8lYC\NiFpgaAG_00000031_SequenceFrame.vhd" Line 182: Formal <eiosignal> has no actual or default value.
INFO:HDLCompiler:1408 - "C:\NIFPGA\jobs\R6n310u_Z1R8lYC\NiFpgaAG_00000037_CustomNode.vhd" Line 18. eiosignal is declared here
ERROR:HDLCompiler:432 - "C:\NIFPGA\jobs\R6n310u_Z1R8lYC\NiFpgaAG_00000031_SequenceFrame.vhd" Line 201: Formal <eiosignal> has no actual or default value.
INFO:HDLCompiler:1408 - "C:\NIFPGA\jobs\R6n310u_Z1R8lYC\NiFpgaAG_00000038_CustomNode.vhd" Line 18. eiosignal is declared here
ERROR:HDLCompiler:432 - "C:\NIFPGA\jobs\R6n310u_Z1R8lYC\NiFpgaAG_00000031_SequenceFrame.vhd" Line 220: Formal <eiosignal> has no actual or default value.
INFO:HDLCompiler:1408 - "C:\NIFPGA\jobs\R6n310u_Z1R8lYC\NiFpgaAG_00000039_CustomNode.vhd" Line 18. eiosignal is declared here
ERROR:HDLCompiler:854 - "C:\NIFPGA\jobs\R6n310u_Z1R8lYC\NiFpgaAG_00000031_SequenceFrame.vhd" Line 50: Unit <vhdl_labview> ignored due to previous errors.
VHDL file C:\NIFPGA\jobs\R6n310u_Z1R8lYC\NiFpgaAG_00000031_SequenceFrame.vhd ignored due to errors
-->
Total memory usage is 189944 kilobytes
Number of errors : 9 ( 0 filtered)
Number of warnings : 4 ( 0 filtered)
Number of infos : 0 ( 0 filtered)
Process "Synthesize - XST" failed
Solved!
Go to Solution.

Hi DiracDeltaForce,
As a first pass, I would recommend disabling or deleting a section of code that you suspect may cause the compile error and see if you can get through synthesis.  Once you get through a compile, you have at least isolated the trouble spot.
Something I would look for in your code is attempts to access the same IO node in multiple clock domains, ie inside and outside of SCTL (single-cycle timed loops), timed sequence structures, or in muliple timed structures with different clock rates.  Attempting this would force LabVIEW to create arbitraion and hand-shaking logic to safely pass data between clock domains.  This type of logic doesn't work in a timed structure because the hand-shaking operation takes multiple clock cycles.
If you are only using traditional sequence structures (rather than the timed sequence structures) I wouldn't suspect this type of issue.
-spex
Spex
National Instruments
To the pessimist, the glass is half empty; to the optimist, the glass is half full; to the engineer, the glass is twice as big as it needs to be...

Similar Messages

  • Date Picker has to submit the default value after the page load???

    Hello All,
    I have 2 date pickers 'Start' and 'End' on a screen with report that has a where clause using above values. Start defaults to current date, End defaults to current month end date, I have another radio group with default to Monthly......
    Now when the page loads, I see the default values for 'Start' and 'End' date pickers but the report doesn't reflect those values. I added an on change dynamic action, and so when I change the value of 'End' date picker, it submits the page and now the values are reflected in the report........
    I tried creating another dynamic action > with event On Page Load.....and disable fire on page load, and to submit the page, this is causing an infinite loop of submitions........can you please tell me how to achieve this with out putting an exclusive submit button on the Screen.......
    Thanks for your time.
    DK

    user12296343 wrote:
    Hello All,
    I have 2 date pickers 'Start' and 'End' on a screen with report that has a where clause using above values. Start defaults to current date, End defaults to current month end date, I have another radio group with default to Monthly......
    Now when the page loads, I see the default values for 'Start' and 'End' date pickers but the report doesn't reflect those values. I added an on change dynamic action, and so when I change the value of 'End' date picker, it submits the page and now the values are reflected in the report........
    I tried creating another dynamic action > with event On Page Load.....and disable fire on page load, and to submit the page, this is causing an infinite loop of submitions........can you please tell me how to achieve this with out putting an exclusive submit button on the Screen.......
    Thanks for your time.
    You don't have to submit the page here.
    What version of apex?
    What report is it? i.e. Interactive report/Classic report
    Depending on your version of apex you can simply use the Page Items to Submit attribute to set the session state

  • FPGA compilation error 1026 using LV2011SP1

    I just upgraded to LabVIEW 2011SP1 and I cannot get a PCI-7831R to compile.  In addition to LV2011SP1, I have the following installed:
     - FPGA (Version 11.0.1)
     - NI-RIO (Version 4.01)
     - Xilinx Tools (10.1)
    I have a very simple VI that just has a Boolean control on the front panel sending a value to an FPGA I/O on the block diagram.  I have created/compiled more complex VI's using LabVIEW 2010 without any issues.  My issue with 2011SP1 is that once the intermediate files have been generated, a Code Generation Error screen pops up with Internal Errors.  It says
    Error 1026 occurred at an unidentified location
    Possible Reason(s):
    LabVIEW: VI reference is invalid
    I'm not sure what VI reference it is referring to.  I have tried to compile this just as I have in the past, but to no avail.  I have removed previous versions of LabVIEW from my machine and installed/re-installed the LabVIEW software and other modules from the distribution DVD's.  It seems as though I always get into trouble when trying to upgrade the NI software. 
    Is there something that I am missing.  I get the same message when trying to compile the examples that are included with the installs.  I know that my R-Series card is installed and working properly because I can run sequences via TestStand that call VI's I created using LV2010.  Any help would be appreciated!
    Attachments:
    ErrorWindow.jpg ‏40 KB

    Hey Josh,
    Thanks for responding.  Late friday I added the LabVIEW real time drivers and was able to compile this once earlier this morning with no errors.  I then made a small changes (by putting the Boolean control in a while loop) and tried to compile again.  Now I keep getting various Xilinx compile errors.  Starting to get frustrated since the errors vary slightly every time I try to compile.  I will attach a couple of screen shots as well as a zip file that contains the project and VI that I am trying to compile.
    Attachments:
    tryout.zip ‏26 KB
    Screen_Capture02 Apr. 02 12.27.jpg ‏87 KB
    Screen_Capture03 Apr. 02 12.30.jpg ‏55 KB

  • Stuck on Compiler Error in Flex Builder

    Greetings all.
    I have my first Flex app calling a Java backend, and the connection works fine.  I have a method "getContinents()" in Java, which returns a List of Continent objects.  Each Continent object has two variables, "name" and "description".  "Name" is a two-letter abbreviation (NA for North America, SA for South America, etc; you get the idea) and "Description" is the spelled-out description (like "North America").  I have an ActionScript class which mimics the Java class.
    In Flex, I have a DataGrid which has, as its dataProvider, a Bindable ArrayCollection.  When the data comes back into Flex, I put it in the aforementioned Bindable ArrayCollection.  That works great.  The list of Continents appears in the DataGrid, as expected.
    The issue is the DropDownList.  I want to see the same thing happen in the DropDownList.  I want to see the Description in the DropDownList, and when one is chosen, based on the selection, I want to go back to the server to get the list of Countries in that selected Continent.  But I can't get this to happen because I can't get past a compiler error on the DropDownList:
    -1067: Implicit coercion of a value of type String to an unrelated type mx.collections:IList.
    DropDownList is defined like this:
        <s:DropDownList x="270" y="220" id="continentDropdown" width="112">
                                        dataProvider="{continentArray}"
                                        labelField="description"
                                        valueField="name"
                                        change="continentChanged(event)">
        </s:DropDownList>
    Data is returned to Flex in this result handler:
                protected function continentsRO_resultHandler(event:ResultEvent):void
                {    log.info("Returned in result handler") ;
                    continentArray=event.result as ArrayCollection ;
    When I create a ComboBox instead of a DropDownList, I get the same compiler error.  When I change the ComboBox from a Spark ComboBox to an MX ComboBox, I don't get the compiler error, but the ComboBox never has any data in it.
    Would anyone be able to tell me what it is that I am doing wrong here?
    Thanks very much in advance!!!

    I would try this:
    continentArray = new ArrayCollection(event.result as Array);
    And if that does not work then ensure event.result is not just a string.
    If this post answers your question or helps, please mark it as such.
    Greg Lafrance - Flex 2 and 3 ACE certified
    www.ChikaraDev.com
    Flex Training and Support Services

  • Compilation error when using @DisableWarnings

    Hi,
    I have a stateless EJB 3.0 session bean, and I am trying to add the following lines of code in it:
    @DisableWarnings { WarningCode[] value(); }
    enum WarningCode { BEA_010001, BEA_010200, BEA_010202, BEA_010054 }
    Iam getting a compilation error like this:
    - The annotation @DisableWarnings must define the attribute value
    - Syntax error on token "@", interface expected after this token
    I am also tring to add this:
    @TransactionTimeoutSeconds { int value() default 30; }     
    I am again getting compilation errors.
    I am using Eclipse ganymede.
    Can any body please help me regarding this.
    Thank you,
    Sowmya

    Hi,
    It looks like you are specifying the annotations incorrectly in your bean class. Try the following:
    @DisableWarnings({WarningCode.BEA_010001, WarningCode.BEA_010200, WarningCode.BEA_010202, WarningCode.BEA_010054 })
    @TransactionTimeoutSeconds(30)
    - Matt

  • Why no compiler error is generated ?

    When I try to store value 0xff in a byte variale with the statement :
    byte b=0xff;
    a compiler error is generated
    but when I try to store the value 0xffffffff in b no complier error occurs.
    The quetion is if the compiler can truncate bits in case of value 0xffffffff then why not in case of 0xff.

    sharmaneha wrote:
    but the question is why does not the compiler truncate the value for 0xff?That's 255, which is more than 127, which is the max value for a byte (they can be between -128 and 127)

  • FPGA Compile error - Actual of formal out port cout cannot be an expression

    Details:
    ERROR:HDLCompiler:192 - "C:\NIFPGA\jobs\BPO5kq2_O6tyN2U\OC4_Sine_Cosine_LUT_Constant_Amplitude_dash_optimised_vi_c.vhd" Line 1408: Actual of formal out port cout cannot be an expression
    ERROR:HDLCompiler:854 - "C:\NIFPGA\jobs\BPO5kq2_O6tyN2U\OC4_Sine_Cosine_LUT_Constant_Amplitude_dash_optimised_vi_c.vhd" Line 69: Unit <vhdl_labview> ignored due to previous errors.
    VHDL file C:\NIFPGA\jobs\BPO5kq2_O6tyN2U\OC4_Sine_Cosine_LUT_Constant_Amplitude_dash_optimised_vi_c.vhd ignored due to errors
    -->
    The compilation gets to the "Estimated device utilisation" stage but then stops shortly after with a compilation error.
    The Line in question (1408) relates to the output of a "Reinterpret FXP" node with the text
    cOut => (others => '0'),
    in the port map portion of the code.  This corresponds to the output of the FXP reinterpret node being directly connected to an indicator in a sub VI whose output is then input directly to a high thoughput multiply node.  The code is part of a sinus cosinus LUT I have programmed.  It used to compile no problem but I think I know where the problem is.  In one instance I only utilise the Sinus output of the algorithm and theoretically, Xilinx can optimise away the Cosinus part.  I have two instances of this VI in my code and looking at the one NOT generating errors, the output is associated with a Cosinus indicator.
    cOut => s_Cosine_2434,
    It would seem that the pathway is essentially optimised away but the Xilinx compiler has a problem with the indicator being present on the sub-VI but the idnicator not being utilised anywhere.  As such, the cOut gets set to an invalid value.  I assume the immediate proximity of the FXP Reinterpret to the output of the sub-VI is an important aspect of this problem.
    I think I know enough now to fix this problem (manually remove the path by duplicating the sub-vi) but this is perhaps a useful feedback for future bugfixes in the FPGA module.  This isn't the first time this kind of incorrect code removal has given me problems but it's the first time I've been able to clearly locate the problem.
    Shane
    Say hello to my little friend.
    RFC 2323 FHE-Compliant
    Solved!
    Go to Solution.

    I am currently attempting a compile after changing some things.
    Just a side question.  Is this particular to the Reinterpret node or are other "pink nodes" also affected by this?  If I don't connect the output of a high throughput add, will it result in the same behaviour?
    PS OK, it seems to be compiling now.  I managed to juggle around the nodes a bit in my sub-VI to make sure the "reinterpret" is not the last node before the indicator.  It seems to be compiling now.  A question which is in my head at this time is: Does the "reinterpret" node prevent anything before it from being optimised away by the Xilinx compiler?  Are there other nodes which cannot be removed, even if the outputs are not being used?  This would immediately seem to suggest to me that such nodes need to be as close to the source as possible in order to reduce the amount of code which cannot be removed as "dead code" during the Xilinx compile process.
    Say hello to my little friend.
    RFC 2323 FHE-Compliant

  • Script Task Builds Successfully But Still Has Compile Error (SQL 2012)

    This is repost in a way but the problem has not gone away and I really need to find a solution.
    I have some script from SSIS 2008 that uses the ReportExecution2005
    web service to execute SSRS reports and save the results to Excel. There were some initial problems with confusion between Web Service Reference (legacy?) and Service Reference (WCF) but I think that I have that sorted out
    now.
    The remaining problem is that, while the VB script (which I have pasted in from a working SSIS 2008 Script Task) builds/complies without error, I get a compile error when I close the Script Task and it has the dreaded "Red X" in the Control
    Flow. If I attempt to run the package I get a message box saying that there is a compile error.
    How can I identify the problem and fix the error? The script itself has no errors in SSIS 2008.
    R Campbell

    This is the code by the way (see below) as pasted into the Main sub.
    I have added
    http://localhost/reportserver/reportexecution2005.asmx as a Web Service
    Reference (under the  "Advanced" button) not just a Service Reference (WCF).
    I can build the code without error but I get an error, saying that there is compile error, when I close the Script Task.
    ReportExecutionService definitely shows up in Object Explorer, not the
    WCF "equivalent" of ReportExecutionServiceSoapClient.
    I have always had the impression, that when you close a Script Task, a compiler kicks in to auto-generate some internal script. Could it be (as seems likely) that this compiler is picking up errors that "Build" doesn't (when the script is open)?
    I think that there was once an explicit Compile rather than just Build option in the Script editing environment but I can't find it in SQL 2012 Database Tools (nee BIDS).
    Dim objRSExec As New localhost.ReportExecutionService
    Dim objParam0 As New localhost.ParameterValue
    Dim objParam1 As New localhost.ParameterValue
    Dim objParam2 As New localhost.ParameterValu
    Dim objParam3 As New localhost.ParameterValue
    Dim objParam4 As New localhost.ParameterValue
    Dim objParam5 As New localhost.ParameterValue
    Dim objParam6 As New localhost.ParameterValue
    Dim objParams() As localhost.ParameterValue
    Dim objResult() As Byte
    Dim objStream As FileStream
    Dim FileType As String
    Dim FileExtension As String
    Dim FilePath As String
    Dim NumberOfParameters As Integer
    Dim ReportName As String
    Dim TaskSuccess As Boolean = True
    FileType = Dts.Variables("FileType").Value.ToString()
    FileExtension = Dts.Variables("FileExtension").Value.ToString()
    FilePath = Dts.Variables("FilePathNameExt").Value.ToString()
    ReportName = Dts.Variables("ReportPathName").Value.ToString()
    NumberOfParameters = CInt(Dts.Variables("NumberOfParameters").Value) - 1
    ReDim objParams(NumberOfParameters)
    If NumberOfParameters >= 0 Then
    objParam0.Name = Dts.Variables("P0Name").Value.ToString()
    objParam0.Value = Dts.Variables("P0Value").Value.ToString()
    objParams(0) = objParam0
    End If
    If NumberOfParameters >= 1 Then
    objParam1.Name = Dts.Variables("P1Name").Value.ToString()
    objParam1.Value = Dts.Variables("P1Value").Value.ToString()
    objParams(1) = objParam1
    End If
    If NumberOfParameters >= 2 Then
    objParam2.Name = Dts.Variables("P2Name").Value.ToString()
    objParam2.Value = Dts.Variables("P2Value").Value.ToString()
    objParams(2) = objParam2
    End If
    If NumberOfParameters >= 3 Then
    objParam3.Name = Dts.Variables("P3Name").Value.ToString()
    objParam3.Value = Dts.Variables("P3Value").Value.ToString()
    objParams(3) = objParam3
    End If
    If NumberOfParameters >= 4 Then
    objParam4.Name = Dts.Variables("P4Name").Value.ToString()
    objParam4.Value = Dts.Variables("P4Value").Value.ToString()
    objParams(4) = objParam4
    End If
    If NumberOfParameters >= 5 Then
    objParam5.Name = Dts.Variables("P5Name").Value.ToString()
    objParam5.Value = Dts.Variables("P5Value").Value.ToString()
    objParams(5) = objParam5
    End If
    If NumberOfParameters >= 6 Then
    objParam6.Name = Dts.Variables("P6Name").Value.ToString()
    objParam6.Value = Dts.Variables("P6Value").Value.ToString()
    objParams(6) = objParam6
    End If
    Try
    With objRSExec
    .Credentials = System.Net.CredentialCache.DefaultNetworkCredentials
    .Url = "http://localhost/reportserver/reportexecution2005.asmx"
    .LoadReport(ReportName, Nothing)
    .SetExecutionParameters(objParams, "en-us")
    objResult = .Render(FileType, Nothing, FileExtension, Nothing, Nothing, Nothing, Nothing)
    End With
    objStream = File.Create(FilePath, objResult.Length)
    With objStream
    .Write(objResult, 0, objResult.Length)
    .Close()
    End With
    Catch e As Exception
    Dts.Log("ERROR - RSExec - " & e.Message.ToString(), 100, Nothing)
    TaskSuccess = False
    End Try
    If TaskSuccess Then
    Dts.TaskResult = ScriptResults.Success
    Else
    Dts.TaskResult = ScriptResults.Failure
    End If
    R Campbell

  • SSUS 2012 Script Component (VB) Build But Still Has Compile Error

    The title says it all really.
    The Script Component builds without error but when I close the editor (and when I execute the package), I get a message saying that there is a compile error. There is of course a big red "X on the script component. No errors are indicated in the edit
    window or when building the script. Can anyone help? this is really holding me up.
    The script has been copied from a working SSIS 2008 package. It uses the report execution web method. I have successfully changed the web reference to ReportExcution2010, there doesn't seem to be a RepprtExcution2012.
    R Campbell

    It's probably best to close thread and that I start a new one. I think that I have gone off on a tangent here. Yes SSIS isn't doing much of a job in reporting errors but my main concern is the error itself.
    I am trying to port an SSIS Script Task from SQL 2008 to SQL 2012. Is uses the ReportExecution2005 web service to run SSRS reports. The really strange thing is that, even though the same web reference is used, different methods seem
    to be available in SQL 2008 and SQL 2012. This doesn't sound right to me, if you refer to the same Web Service you should see the same metros or am I wrong about that.
    For example in SQL 2008 there is ReportExecutionService
    object but on the SQL 2012 to service there only seems to be
    ReportExecutionServiceSOAPClient.
    R Campbell

  • Ni xilinx compile tools 14.4 Error

    Hi all,
    I tried to compile my LabVIEW FPGA VI with the last version of xilinx compile tools 14.4
    I got the following error
    I re-installed the ni xilinx compile tools 14.4 TWICEn and the the result is the same.
    Help.... please.
    Thanks,

    I would bet that this is an issue with a botched UNINSTALL of Xilinx 11.5. To fix:
    Go to 'C:\NIFPGA\programs'
    Do you have a 'Xilinx11_5' folder? If so, I bet it's empty/corrupt. Move it to another directory for this test.
    If you don't have one... well then I'm wrong and the issue is elsewhere
    Do you expect to have/need Xilinx 11.5 on the system (for compiling with LabVIEW 2010)?
    Cheers!
    TJ G

  • Unexpected (Bug?) Compile error when DataGridColumn has 'id' in Repeater

    When I attempt to create a small number of DataGrid controls
    with a Repeater, I get the following compile error message:
    Unable to generate initialization code within Repeater, due to
    id or data binding on a component that is not a visual child.
    Here is the minimal amount of MXML that generates the compile
    error:
    <?xml version="1.0" encoding="utf-8"?>
    <mx:Application xmlns:mx="
    http://www.adobe.com/2006/mxml"
    layout="absolute">
    <mx:ArrayCollection id="myAC"/>
    <mx:ArrayCollection id="headersAC"
    source="{headers.header}"/>
    <mx:Model id="headers">
    <headers>
    <header>Header 1</header>
    <header>Header 2</header>
    <header>Header 3</header>
    <header>Header 4</header>
    </headers>
    </mx:Model>
    <mx:VBox>
    <mx:HBox>
    <mx:Repeater id="repeater1"
    dataProvider="{headersAC}">
    <mx:DataGrid id="datagrid1" width="125" height="210"
    dataProvider="{myAC}">
    <mx:columns>
    <mx:DataGridColumn
    id="anything"
    headerText="{repeater1.currentItem.header}"/>
    </mx:columns>
    </mx:DataGrid>
    </mx:Repeater>
    </mx:HBox>
    </mx:VBox>
    </mx:Application>
    <mx:DataGridColumn/> compiles correctly.
    <mx:DataGridColumn
    id="anything"/> receives the compile error message above.
    <mx:DataGridColumn
    headerText="{repeater1.currentItem.header}"/> receives
    the compile error message above.
    I'd like to be able to address the repeating DataGridColumns
    via their id array. I'd also like to be able to assign the
    headerText to each of the DataGridColumns at initialization.
    There is a claim of a workaround at Nischal's blog:
    Referencing components inside
    the mx:Repeater, but there is no source code to show how to do
    this.
    He says:
    quote:
    Recently when I was working on Flex application and found
    that there is an issue with the columns of DataGrid, which is
    defined inside the repeater. Flex throws following error when you
    try to assign id or data binding values to DataGridColumn
    “Unable to generate initialization code within
    Repeater, due to id or data binding on a component that is not a
    visual child.”
    Which means if you want to assign dynamic value to say
    HeaderText of the column then you cannot use dynamically or id to
    assign value. Work around to this issue is to use columns array of
    datagrid to define the value. Click
    here
    to see the example and source code to use the column of the
    DataGrid.
    I'm not sure what "...use columns array of datagrid to define
    the value..." means.
    Any ideas on the workaround for this problem?

    Peter:
    You can see the example on
    Refrencing
    Datagrid and right click to view source.
    Regards,
    Nischal Pathania
    blog.nischal.com

  • FPGA ERROR:HDLCompiler:806

    Hi Guys,
    I am having trouble compiling a piece of FPGA code that converts an array of four U8 numbers into a floating point number. 
    There are no labVIEW reported errors on the block diagram but when I attempt to compile (press the run button) I get the following error in the compilation status window.
    LabVIEW FPGA:  The compilation failed due to a xilinx error.
    Details:
    ERROR:HDLCompiler:806 - "C:/NIFPGA/jobs/SD41b8F_kGH6B4K/DeleteArrayNode_39.vhd"
       Line 38: Syntax error near "/".
    INFO:HDLCompiler:1061 - Parsing VHDL file
       "C:/NIFPGA/jobs/SD41b8F_kGH6B4K/DiagramReset.vhd" into library work
    I am compiling for a sbRIO-9606 and my operating system in Windows 7 64bit with LabVIEW 2011.
    I found this post: http://digital.ni.com/public.nsf/allkb/2DA4F6A0C206E03A862578E9007DFECE that seems to refer to this problem being fixed for LV2011.
    Please find my VI attached.
    -Adam
    Adam Amos | CPE Systems
    [email protected]
    Attachments:
    To Float.vi ‏23 KB

    Adam,
    So the error has to do with the 3rd delete from array in your program as the result is a size 0 array. This is a known issue. Logically you could replace the 3rd delete from array with a wire and your code should compile and behave the same the since there are only 23 elements left in your array.
    Kyle Hartley
    RIO Product Support Engineer
    National Instruments

  • Grrr... Xilinx compile failures

    I appreciate the effort NI has put into making FPGA programming available to the unwashed masses.  When things work correctly it's slicker than a greased seal in an oil factory.  When things go bad it's extremely frustrating with few clues about how to fix the problem.
    I've been struggling with intermittent compile failures on a cRIO-9074.  The latest problem when I added code to make the fpga LED flash while the fpga is running.  That generated a compile error, so I removed that code.  Now it still won't compile, even though it's the exact same code as before.
    I've attached the Xilinx log file.  There are several different types of errors, each of which is repeated multiple times.  Links are to the Xilinx KB articles:
    ERROR:coreutil - ios failure
    ERROR:sim:928 - Could not open destination 'PkgBeatleTypedefs.vhd' for writing.
    ERROR:ConstraintSystem:58 - Constraint <INST "*oInputFalling*" TNM =
       "CcInputFallingRegs";> [toplevel_gen.ucf(141)]: INST "*oInputFalling*" does
       not match any design objects.
    ERROR:ConstraintSystem:59 - Constraint <TIMESPEC "TS_AsynchMite30"= FROM
       PADS(mIoHWord_n) TO PADS(mIoDmaReq<*>) 0 ns;> [toplevel_gen.ucf(703)]: PADS
       "mIoHWord_n" not found.  Please verify that:
       1. The specified design element actually exists in the original design.
       2. The specified object is spelled correctly in the constraint source file.
    According to Xilinx, the first error should be ignored--the design will load and run fine.  Is that possible when compiling within Labview?  Is there a way to run the compiler tools directly, and would that even help?  The second error requires modifying the UCF file, and the third requires various tools and options not available (afaik) to LV developers.
    I've been fighting the FPGA compiler for about a month.  Its unpredicability is deadly for small businesses trying to deliver something to a customer.  I'm about ready to throw the whole thing in the trash and go in another direction, simply because I can more accurately estimate how long it will take me to implement on a different platform.
    [Edit]
    I just tried recompiling the fpga vi again.  This time I receive a new error:
    LabVIEW FPGA:  An internal software error in the LabVIEW FPGA Module has occurred.  Please contact National Instruments technical support at ni.com/support.
    Click the 'Details' button for additional information.
    Compilation Time
    Date submitted: 11/28/2012 9:28 AM
    Last update: 11/28/2012 9:30 AM
    Time waiting in queue: 00:05
    Time compiling: 01:55
    - PlanAhead: 01:50
    - Core Generator: 00:00
    - Synthesis - Xst: 00:01
    - Translate: 00:01
    Attachments:
    XilinxLog.txt ‏1302 KB

    I'm using a 9237 in slot 3 and setting the sample rate to 1.613 kS/sec.  Slots 1 and 2 have a 9411 and 9422 that I will read using the scan engine.  (Some of my RT test code uses the 9422, some doesn't.  It doesn't seem to be related to this problem.)
    Interestingly, I added a small bit of code again to try and get the LED to flash while the FPGA is running.
    ...and I got all sorts of new compile errors, such as...
    ERROR:HDLCompiler:806 -
       "C:/NIFPGA/jobs/Rtxj7d7_KSw9nkc/NiFpgaAG_00000000_WhileLoop.vhd" Line 208:
       Syntax error near "downto".
    ERROR:HDLCompiler:806 -
       "C:/NIFPGA/jobs/Rtxj7d7_KSw9nkc/NiFpgaAG_00000000_WhileLoop.vhd" Line 224:
       Syntax error near "3".
    ERROR:HDLCompiler:806 -
       "C:/NIFPGA/jobs/Rtxj7d7_KSw9nkc/NiFpgaAG_00000000_WhileLoop.vhd" Line 240:
       Syntax error near "}".
    ERROR:HDLCompiler:806 -
       "C:/NIFPGA/jobs/Rtxj7d7_KSw9nkc/NiFpgaAG_00000000_WhileLoop.vhd" Line 244:
       Syntax error near "`".
    At the very end of the log it says, "Sorry, too many errors.."  I guess it just gave up.  I know the feeling.
    I tried deleting that code and recompiling the vi, and it still won't compile.  I assume if I create another new vi via copy and paste it will work again, but something weird is going on.
    Attachments:
    XilinxLog - FPGA Main 2 (DMA) - Added flashing LED.txt ‏141 KB

  • HDL Compiler Error

    Salve,
    per la mia applicazione uso un crate PXIe (1062Q) con un RT-module 8102 ed una FlexRIO 7962R. Alla FlexRIO è connesso un AM custom. Nella mia applicazione genero un IP-core con il coregen di xilinx da importare come CLIP nel mio progetto (è una fifo dual clock con dimensione di bus dati differente tra scrittura e lettura). Premesso che con LV 2011 tutto funzionava correttamente, non appena ho installato LV 2012, e quindi, nella speranza di ottenere prestazioni ancora migliori, sono passato ad usare ISE 13.4, ho ri-generato IP-core della fifo (fifo generator 8.4 al posto del 7.2 della precedente versione), ho re-importato il codice nel mio progetto ma al momento di compilare il VI ho ricevuto il seguente errore (del quale allego anche il log xilinx):
    English version:
    Hi,
    I'm using a PXIe crate(1062Q) with a RT-module 8102 and a FlexRIO 7962R. A custom AM is connected to the FlexRIO. I create a new IP-core with Xilinx coregen to import as CLIP in my project (the IP-CORE is a dual clock fifo with non-symmetric aspect ratios). Using LV 2011 all works fine, but with LV 2012 (ISE 13.4, fifo generator 8.4 instead of 7.2 of previous version), trying to have better performance, during compiling I received the following error (log xilinx as attached):
    LabVIEW FPGA:  The compilation failed due to a xilinx error.
    Details:
    ERROR:HDLCompiler:104 - "C:\NIFPGA\jobs\LejKY3B_DPeQegM\NiLvFpgaClipContainer.vhd" Line 149: Cannot find <fifo_generator_v8_4> in library <work>. Please ensure that the library was compiled, and that a library and a use clause are present in the VHDL file.
    ERROR:HDLCompiler:104 - "C:\NIFPGA\jobs\LejKY3B_DPeQegM\NiLvFpgaClipContainer.vhd" Line 162: Cannot find <fifo_generator_v8_4> in library <work>. Please ensure that the library was compiled, and that a library and a use clause are present in the VHDL file.
    ERROR:HDLCompiler:854 - "C:\NIFPGA\jobs\LejKY3B_DPeQegM\NiLvFpgaClipContainer.vhd" Line 95: Unit <clipcontainer_vhdl> ignored due to previous errors.
    VHDL file C:\NIFPGA\jobs\LejKY3B_DPeQegM\NiLvFpgaClipContainer.vhd ignored due to errors
    -->
    Total memory usage is 203228 kilobytes
    Number of errors   :    3 (   0 filtered)
    Number of warnings :    5 (   0 filtered)
    Number of infos    :    1 (   0 filtered)
    Process "Synthesize - XST" failed
    Grazie in anticipo a chiunque abbia una soluzione da proporre.
    Thanks in advance to everyone have a solution to suggest.
    Attachments:
    XilinxLog.txt ‏133 KB

    We had the same problem when switching to Ubuntu 14.04, and there actually is a solution for it: make sure your locales are set to English.
    $> env | grep LC_*
    should only show english (or C) locales, all others are known to cause parsing errors in some numbers, usually caused by wrong string-to-float conversions (e.g. 18,29 in german is 18.29 in english). You can change the locales in the file /etc/default/localesThis is not the first time we had problems with the locale settings, Xilinx does not seem to test their software with anything else than en_US, causing obscure bugs like this one.
    HTH
    Philipp

  • Error:HDLCompiler:104: Cannot find float_alg_pkg in library ieee_proposed

    When I synthesize the VHDL code from Vivado HLS in Xilinx ISE, some errors appeared.
    All the errors are caused by the ' aesl_fp_wrapper.vhd ' file and the first one is described like below:
    ERROR:HDLCompiler:104:HDLCompiler:104 - "/home/xq32/code/rvc-cal/shared-cal-programs/meanShift/HLSBackend0801/HLSBackend/TopVHDL/aesl_fp_wrapper.vhd" Line 681: Cannot find <float_alg_pkg> in library <ieee_proposed>. Please ensure that the library was compiled, and that a library and a use clause are present in the VHDL file.
    The code is like:
    Library IEEE;
    use IEEE.std_logic_1164.all;
    Library work;
    use work.all;
    Library ieee_proposed;
    use ieee_proposed.float_pkg.all;
    use ieee_proposed.float_alg_pkg.all; (ERROR HAPPENED HERE)
    entity AESL_WP_FLog is
      generic (
        NUM_STAGE : INTEGER := 13;
        din0_WIDTH : INTEGER := 32;
        din1_WIDTH : INTEGER := 32;
        dout_WIDTH : INTEGER := 32);
      port  (
        clk, reset, ce : std_logic;
        din0 : in std_logic_vector(din0_WIDTH-1 downto 0);
        din1 : in std_logic_vector(din1_WIDTH-1 downto 0);
        dout : out std_logic_vector(dout_WIDTH-1 downto 0));
    end AESL_WP_FLog;
    More information:
    The Vivado HLS generate a folder: ieee_FP_pkg
    The following files and folder are inside it:
    aesl_fp_wrapper.vhd     fixed_pkg_c.vhd  fuse.xmsgs
    fixed_float_types_c.vhd  float_pkg_c.vhd  isim
    Within the isim folder: isim>work>fixed_float_types.vdb
    Does anyone have idea?
    Many thanks

    You should add a library which means <float_alg_pkg> .add to library

Maybe you are looking for

  • RingTone Help

    Hello, Ok according to the iTunes Store Beautiful Girls by Sean Kingston should be ring tone working. But in the Purchased Tab under iTunes Store that song is not popping up with the ringtone icon. A ton of my other songs are popping up with that ico

  • Website not loading on iPad but works on another iPad

    Website working fine then suddenly wouldn't load properly I.e. just showing text in bullet point format. Works fine on another iPad in household. How do I fix this issue?

  • How to download Halloween videos from YouTube?

    <By Jenny> It is that time of year again, the exciting Halloween! Since activities in these days are wonderful, unforgettable, impressive, crazy, and fantastic, lots of them are recorded in the form of videos and uploaded to YouTube for sharing with

  • MM - Inspection lot

    Hi Gurus, Did a MIGO.  Goods Receipt by Inbound Delivery (180008338) and Return to Vendor 122.  This created the Outbound Delivery (80010031).  I managed to pick using VL06O (For Picking) then VL06O (For Confirmation) but when we came to the VL02N (P

  • Lost iPhone in shipping

    My iphone 4 broke(couldn't hear phone calls) and I sent it to Apple for repairs or replacement as it was under warranty. Shipped the phone UPS and Apple confirmed they received it. Phone couldn't be repaired per Apple so they shipped me a replacement