Fail to Meet Timing Constraint with Xilinx 9.2i Suite

Hi,
I've been trying to p&r the OpenSPARC T1 v1.6 with either 1core/1thread or 1core/4threads using the Xilinx 9.2i EDK with the latest updates to no avail. It looks like the design just refuses to meet a timing constraint. I have no problem doing the p&r using Xilinx ISE/EDK 10.1(and getting a working bitfile), but since the original EDK project was designed using v9.2(from looking at the system.xmp file) I was wondering if anyone was able to successfully create a bitfile for the OpenSPARC T1 using v9.2 of the Xilinx tools. I tried using a fresh tarball (with the supplied sparc.edf file) and following the instructions in OpenSPARCT1_DVGuide.pdf using the 9.2i suite, but again, I had the same results (design failed to meet timing). Any help on the issue is greatly appreciated. For reference, here is the constraint not met.
*TS_clock_generator_0_clock_generator_0_clkgen_core_inst_clkgen_arch_inst_using_dcm_arch_model_dcm_array_1__using_dcm_module_inst_dcm_module_inst_CLK0_BUF   = 
PERIOD TIMEGRP "clock_generator_0_clock_generator_0_clkgen_core_inst_clkgen_arch_inst_using_dcm_arch_model_dcm_array_1__using_dcm_module_inst_dcm_module_inst_CLK0_BUF"
TS_clock_generator_0_clock_generator_0_clkgen_core_inst_clkgen_arch_inst_using_dcm_arch_model_dcm_array_0__using_dcm_module_inst_dcm_module_inst_CLKFX_BUF     HIGH 50% From looking at the Xilinx Timing Analyzer, it looked like paths from the microblaze processor the the lmbrams was the issue.
Oleg

We have gotten those errors from time to time as well. One thing we did to get around this was to set the following option in the system.xmp file:
EnableParTimingError: 1
If you are having success with ISE/EDK 10.1, that's great. I would stick with it. We will be updating the OpenSPARC T1 project for EDK 10.1 pretty soon anyway.
formalGuy

Similar Messages

  • Design does not meet the timing constraints of the specified clock

    Hi,
    I am using labVIEW FPGA 2011 and FlexRIO 7965R (virtex 5 SX95T). I am trying to use multiple simple dual port RAMs (generated through xilinx coregen tool) in cascade. The data read from one memory block is written to the next memory block and so on. I want the design to run as fast as possible. I have used SCTL rate of 346MHz in my design. But when i compile the code, timing violation error occurs. And the maximum clock rate achieved is 291.25MHz. The timing vilation analysis window always show the feedback node and controller as paths which failed to meet the timing contraints. I have also used DMA and VI Scoped FIFOs in my design for data input and output. 
    I have attached the code and images for xilinx block memory generator IP configuration settings. Kindly have a look at my code and tell me what is it that i am doing wrong? what are the considerations for pipelining the design? cause i have tried placing registers after every stage in my code but this reduces the clock rate to even below 291.25MHz.
    Thanks
    Attachments:
    Projec_Memory Block.zip ‏309 KB
    Block Memory Generator_GUI.zip ‏236 KB

    Have you taken a look around the forums?
    http://forums.ni.com/t5/LabVIEW/Compile-Error-in-FlexRIO-FPGA-when-using-derived-clock/td-p/1617226
    What is the exact timing violation error you receive?  Depending on how your feedback nodes are used it can slow down the clock rate from the desired clock rate.  This is what I normally use as a reference for making my code operate more quickly:
    http://zone.ni.com/reference/en-XX/help/371599G-01/lvfpgaconcepts/registers/#Register_Timing
    http://zone.ni.com/reference/en-XX/help/371599G-01/lvfpga/fpga_timed_loop/
    http://zone.ni.com/reference/en-XX/help/371599G-01/lvfpgaconcepts/fpga_pipelining/

  • Problems with IO timing constraints

    I am developing a system for Virtex-6 FPGA under ISE environment.
    Now I have several I/O pins that need to be constrained in timing.
    I have an overall system clock called sys_clk in 100MHz, and a logic-generated clock called sr_clk in 125MHz.
    I need to make offset constraints referring to sr_clk. However, it seems that the constraint editor will only recognize sys_clk. Any constraints with sr_clk reference are invalid.
    How could I solve such problem?

    Thanks 
    I have tried the following statements in my ucf file:
    NET "sys_clk_n" LOC = T7 | TNM_NET = "SYSCLK";
    NET "sys_clk_p" LOC = T8 | TNM_NET = "SYSCLK";
    TIMESPEC TS_SYSCLK  = PERIOD "SYSCLK" 100 MHz HIGH 50 % PRIORITY 100 ;
    NET "sr1_clk_out" LOC = AR20 | TNM_NET = SRAM_CLK;
    NET "sr2_clk_out" LOC = AW16 | TNM_NET = SRAM_CLK;
    TIMESPEC TS_SRAM_CLK = PERIOD "SRAM_CLK" 125MHz HIGH 50% PRIORITY 100 ;
    TIMEGRP "SRAM_DATAADDR" OFFSET = IN 2 ns AFTER "sr1_clk_out" HIGH;
    TIMEGRP "SRAM_DATAADDR" OFFSET = OUT 2 ns BEFORE "sr1_clk_out" HIGH;
    The final timing report after P&R shows that there are 0 paths analysed for last 3 constraints during the process. 

  • FPGA Compilation fails with Xilinx "Block Memory Generator 8.1" configured with a Coefficient File

    Hello All,
    I have a SubVI that uses block memory, which has been created using Xilinx "Block Memory Generator 8.1". I have configured this block memory to be a "Single Port ROM", and I use a coefficient file to initialize the memory contents. In simulation mode, everything works as it should.
    Next, when I try to compile the top-level VI, I get the following error:
    http://www.cs.nyu.edu/~aditya/Compilation_Log_100.txt
    http://www.cs.nyu.edu/~aditya/Dividing (This is the coefficient file)
    Essentially, the compiler is looking at an ill-formed path to find the coefficient file.
    My environment:
    -- LabView 2014 f1 (32 Bit)
    -- FPGA module 2014
    -- RT Module 2014
    -- Xilinx Vivado 2013.4
    -- NI RIO Drivers 14
    -- Development Machine and Conpile Server: Windows 7 Professional, 32GB RAM
    -- Compile Worker running Linux, with Xilinx Compile tools installed
    Is this a bug, or am I doing something wrong? Thanks!
    Best,
    Aditya

    Next, I tried compiling on the windows machine itself. And here is the error that I get. (See attached image).
    Thanks!
    best,
    aditya
    Attachments:
    Capture.PNG ‏18 KB

  • HDL Interface Node (UsingFilterCore.vi) and "timing constraint" error when compiling

    I'm trying to use the HDL interface node in LV8 FPGA with a PCI-5640R and had the "timing constraint" error when compiling my VI, however, the same VI was successfully compiled on a CRIO-9104, it seems the FPGA on PCI-5640R is not good as the one on CRIO-9104, or I'm not using it right. could you please kindly help me out?
    I tested it with the sample code downloaded from NI website
    ( http://zone.ni.com/devzone/conceptd.nsf/webmain/456722DDDE17986A86256E7B0065EE6F ) which demonstrates using an IP core for a filter. To simplify it, I only keep the HDL Interface Node and the While Loop (see "UsingFilterCore.vi" in attached zip file), and then I created 2 projects including this VI (1 for CRIO-9104, in sub folder "CRIO-9104", the other for PCI-5640R, in sub folder "IFRIO 5640"). When opening the 2 projects separately in LV8.0 and selecting the VI for compile, the one for 9104 passed and the other failed. Here I attach the source code, error message screenshot and the NIReport from MAX, hope you can reduplicate the problem.
    Can you help me out? Thanks very much !
    Message Edited by Jerry_L on 03-26-2006 09:28 PM
    Message Edited by Jerry_L on 03-26-2006 09:29 PM

    Hi Jerry,
    I'm just tried to make all these steps by myself (http://zone.ni.com/devzone/cda/tut/p/id/3516). I have generated FIR filter using Xilinx ISE and got *.VHD file which was going to use in HDL Node.
    In the Parameters tab of the HDL Interface Node configuration dialog, double-click in the Names column to add parameters. Create parameters as shown below.
    Next, switch to the Code tab. Notice that your parameters now appear in the entity section. To complete the next two sections of code, you will need to refer to the filt.vhd file that you generated earlier and interface the filter core to the LabVIEW FPGA execution system.
    1. The first problem I met was integrating VHDL code from earlier generated *.VHD file to CODE tab in properties of HDL Node. Content of entity section in *.VHD is not the same that in your attached file. Please check it in attached files. I'm sure this is the main reasen of problem.
    Next, switch to the External Files tab. Click the Add File button and select the filt.edn file that you created earlier. This is the EDIF netlist file that you generated earlier.
    2. I have no idea where can I get it and when during filter generation using Xilinx ISE it was generated too. How can I get it? I had to use your attached file filt.edn.
    3. After that I have made the same schematics like you have in your VI FPGA and try to run. But I've got two error messages:
    HDL Interfave node: enable chain not handled. Details: Refer to the documentation for the correct assignments for the enable_out output from your HDL code.
    HDL Interfave node: output not handled. Details: Right-click the node, select Configure to open the Configure HDL Interface Node dialog box, and use the Code tab to handle all output parameters. 
    Actually I need to model FIR filter:
    Bandwidth 200-600 Hz
    Sampling 8 KHz
    Attenuation 80 dB
    That's why I tried to follow all these steps by myself to understand how does it work.
    Thanks a lot.
    Nikita
    Attachments:
    Filter1.vi ‏16 KB

  • "LabVIEW FPGA: The compilation failed due to timing violations, but there is no path information because the timing violations are not of type PERIOD

    The compilation of my labview fpga vi fails with the error message "LabVIEW FPGA:  The compilation failed due to timing violations, but there is no path information because the timing violations are not of type PERIOD".
    In the 'final timing (place and route)' report, the requested frequencies are all below the maximum frequencies and the compilation error message is only displayed at the very end on the 'summary' page.
    I tried to optimize my labview fpga vi with pipelining, but had no success.
    Can anybody offer some advice on how to debug fpga code with this error? Is this really a timing error or something else?
    Software:
    Labview 2011, fpga 2011, xilinx tools 12.4 sp1
    Hardware:
    NI PXIe-1071 Chassis
    NI PXIe-8108 Embedded controller
    NI PXIe-7965R FPGA FlexRIO FPGA module
    NI 5761 250 MS/s 14 bit Analog input digitizer
    The Xilinx log of the compilation run is attached.
    Also, this issue was already discussed in this thread ~6 months ago, but no satisfying answer was offered so far...
    Thanks,
    Fabrizio
    Attachments:
    xilinxlogc.txt ‏2313 KB

    Hi Kyle,
    the problem is: I have one computer which compiles the VI successfully and a second one which shows that error. Both use the same software setup (LV2011SP1+RT+FPGA from DS2012-01). Both use the same project file - atleast SVN shows no difference.
    - You can have one FPGA VI where one computer is compiling successful and a second one complains. (Btw. I have a SRQ running in Germany on this topic.)
    - More problems: After successful compiling on first computer and transferring all to second computer (using SVN, including the full project folder with all files like bitfiles, lvproj, and everything) the second computer is unable to start the RT executable due to error "FPGA VI needs to recompile". Solution so far: Call the FPGA-OpenReference with the bitfile instead of the VI (as I used to do until now)...
    - More problems: After modifying the FPGA-OpenReference to use the bitfile (on the 2nd computer) and transferring all the files back to the 1st computer (using SVN as before, including the whole project) the 1st computer complains: FPGA-OpenReference is creating a different reference than is used in the VI. So what happens here? On one computer my VI is ok, the reference is typed correctly. Transferring all the files to a different computer the VI isn't ok anymore due to changes of the reference??? You know: all files are the same: lvproj, FPGA bitfile didn't change, cRIO reference didn't change...
    All those problems didn't occur on my RT-FPGA projects in LV2010SP1. I'm not pleased...
    Best regards,
    GerdW
    CLAD, using 2009SP1 + LV2011SP1 + LV2014SP1 on WinXP+Win7+cRIO
    Kudos are welcome

  • Compilation failed due to timing violations, Getting Xflow error and resources over use.

    Hi,
    I am extensively using Fixed point math library functions which i have downloaded from NI website in my FPGA application.I am getting following errors while compiling the code.
    I would like to know is there any limitations in using fixed point functions?
    I am configuring all funtions as a 64 bit word length and 32 bit integer length in cofig parameter set up and all are outside the timed loop.
    Apart from below error it is occupieng LUT's 300% in one simple VI (mathematical calculations using fixed point functions).
    So i would like to know is there any way to optimize the code?.
    Status: Compilation failed due to timing violations.
    The compile process reported a timing violation.
    Suggestions for eliminating the problem:
      * For Timed Loops with timing violations
          - Reduce long arithmetic/combinatorial paths
          - Use pipelining within Timed Loops
          - Reduce the number of nested case structures
      * Reduce clock rates if possible
      * Recompile
    Refer to the LabVIEW Help for more information about resolving compilation errors. Click the Help button to display the LabVIEW Help.
    Compilation Summary
    Device Utilization Summary:
       Number of BUFGMUXs                        2 out of 16     12%
       Number of External IOBs                 214 out of 484    44%
          Number of LOCed IOBs                 214 out of 214   100%
       Number of MULT18X18s                     69 out of 96     71%
       Number of SLICEs                       4387 out of 14336  30%
    Clock Rates: (Requested rates are adjusted for jitter and accuracy)
      Base clock: 40 MHz Onboard Clock
          Requested Rate:      40.408938MHz
          Achieved Rate:       36.974044MHz    <<<=== Timing Violation

    lion-o wrote:
    The Fixed Point Library is certainly not a demo, and we encourage you to use it extensively.
    Really? "Demo" is perhaps too strong a word, but the NI Labs page says that the toolkits there "aren't quite ready for release" and are "experimental prototype"s. My understanding was that they work, but are only meant to show potential future products and get feedback on them. If this is not the case, perhaps the wording needs to be changed.
    I know I wouldn't want to be using something throughout my code and then find out that it is not supported when the next LV version came out because it was only a prototype. Can you promise support into the future for these? If you can't, that should be clearly stated.
    Try to take over the world!

  • I have 2 i4 phones.  I can sign on to iCloud on 1 but never on the other.  Does anyone have the answer to this.  I have tried to change my password but I still have the same problem.  I keep getting message "Verification Failed The request timed out"

    I upgraded to 2 -  i4 phones with 2 different phone numbers.  I can sign on to iCloud on 1 phone but never on the other.  I have changed my my password twice but no matter what I do, I still have the same problem.  I keep getting a message "Verification Failed The request timed out"  no matter how many times I try to sign on it always times out.  Before I upgraded to the i4, I had a i3GS and had the same exact problem.  Does anyone have any ideas???

    Firstly, go to http://iforgot.apple.com and sign in with the ID you use for iCloud. A new password will be sent to your associated email address.
    If that doesn't work, for example because it's going to an address you can't access, then you will have to contact Support. Go to https://expresslane.apple.com ; click 'See all products and services' at the bottom of the page. In the next page click 'More Products and Services, then 'Apple ID'. In the next page select 'Other Apple ID Topics' then 'Lost or forgotten Apple ID password' and click 'Continue'.

  • Mapping failed due to Unique Constraint

    Hi,
    We are using Oracle Financial Analytics and noticed that one of the mapping SIL_PositionDimenstionHierarchy failed due to Unique Constraint.
    Mapping was inserting data into W_POSITION_DH table.
    Is there a way to find the source table from where it is inserting the record?
    I don't have much Informatica experience.
    Thanks,
    Poojak

    Hi,
    Our servers are hosted to Oracle On Demand. I have opened a ticket with Oracle. They have asked us to aply a patch to increase the version of the Mapping.
    Please see update from Analyst.
    "Development team is requesting to apply patch number 9782718, which solves this issue as well.
    You need to go to Patches and Updates tab on Support Portal, click on Oracle, Siebel and Hyperion products link and do a simple search with patch number 9782718. The patch files contain detailed instructions.
    Please test on your Test environment first before applying to Production. Let us know if you have any issues.
    Thanks,
    Poojak

  • HT5622 I have a new iPad mini. trying to set up my iCloud. I have a wifi connection at a hotel. trying to connect to my iCloud account. Getting a message saying "Verification Failed" the request timed out.

    I have a new iPad mini. trying to set up my iCloud. I have a wifi connection at a hotel. trying to connect to my iCloud account. Getting a message saying "Verification Failed" the request timed out. Can't seem to get a connection to internet even thou it tells me i have one. 

    ausask64 wrote:
    Can't seem to get a connection to internet even thou it tells me i have one. 
    If you see the white WiFi bars in the upper left corner, that simply means that the iPad has detected a WiFi network, and that does not mean that you are connected to the Internet.
    Can you open web sites with Safari?

  • ADC DDR source synchronous timing constraints help

    Hi all,
      I am using a virtex 5 xc5fx200T-1ff1738 with ISE 12.4 to capture data from an ADC (KAD5512p-50 http://www.intersil.com/content/dam/Intersil/documents/kad5/kad5512p-50.pdf).
    I calculate my timing constraints as follows:
    TIMEGRP "ADC_1_DP_TIM_GRP" OFFSET = IN 1.713ns VALID 1.487ns BEFORE ADC_1_CLK_P_IN RISING;
    TIMEGRP "ADC_1_DP_TIM_GRP" OFFSET = IN 1.823ns VALID 1.629ns BEFORE ADC_1_CLK_P_IN FALLING;
    ADC clock period (DDR) = 2ns
    ADC clk->q rising max = 0.120ns
    ADC clk->q rising min = -0.260ns
    ADC clk->q falling max = 0.230ns
    ADC clk->q falling min = -0.160ns
    The board latency of the ADC clock to the FPGA is 0.424ns.
    Each ADC data pin is trace matched between N and P, but sadly not between each bit.
    Bit     Delay           Clk->Data delay (0.424ns - data delay)
    0        0.481ns       0.057ns
    1        0.480ns       0.056ns
    2        0.481ns       0.057ns
    3        0.479ns       0.055ns
    4        0.481ns       0.057ns
    5        0.390ns      -0.034ns
    6        0.480ns       0.056ns
    7        0.479ns       0.055ns
    8        0.430ns       0.006ns
    9        0.473ns       0.049ns
    10      0.481ns       0.057ns
    11      0.480ns        0.056ns
    Delta of the minimum and maximum clk->data board latency = ( 0.057ns - -0.034ns ) = 0.023ns    (Right?)
    From this I calculate:
    Rising edge offset by:
      ADC clock period - clk->q falling max - max board clk->q delay
        = 2ns - 0.230ns - 0.057ns = 1.713ns
    Rising edge valid time:
      ADC clock period - clk->q falling max - clk->q rising min - ( Delta of the minimum and maximum clk->data board latency )
        = 2ns - 0.230ns - 0.260ns - 0.023ns = 1.487ns
    Falling edge offset by:
      ADC clock period - clk->q rising max - max board clk->q delay
        = 2ns - 0.120ns - 0.057ns = 1.823ns
    Falling edge valid time:
      ADC clock period - clk->q rising max - clk->q falling min - ( Delta of the minimum and maximum clk->data board latency )
        = 2ns - 0.120ns - 0.160ns - 0.023ns = 1.629ns
    The FPGA needs a window of 1.77ns( 1.55ns setup time + 0.16ns hold time according to the data sheet DS202 v.5.4 table 93, Tpsdcm0/Tphdcm0), which I obviously do not have given these constraints.  Am I calculating this wrong?
    I want to use the phase shift of the DCM to move to the clock to the "data eye."  Are my constraints caluclated properly?
     

    I haven't analyzed your numbers carefully, but at first glance, this looks more or less correct. There appears to be a simple math error in calculating your data skew : (0.057ns - -0.034ns ) = 0.023ns ; it looks like you added the negative number instead of subtracting it (which makes things worse).
    Thus your overall window is small - probably around 1.419ns (correcting for your error above). This is too small to capture with global clock capture using the DCM (yes, you are interpreting Tpsdcm_0/Tphdcm_0 correctly)
    The only option for static capture is to use ChipSync; bring the clock in on a CCIO and use a BUFIO to capture the data. Done this way your timing is Tpscs/Tphcs, which requires a data window of only 1.370ns (leaving a whopping 49ps of margin). Of course, this is best case - if you have duty cycle errors or other board/signal integrity issues, it will come out of this margin.
    There are a few things that make this better. The Tpscs/Tphcs is measured with LVCMOS25 - using LVDS will probably make it better, but we can't really quantify how much.
    Also, the board delay is mostly PVT independent - you could compensate for the static error using the IDELAY. In particular you could add one tap of delay to to data bit 5, which will add approximately 78ps to that one bit - bringing it more in line with the rest. Your overall skew would the be 57-6 = 49ps, instead of 57--34 = 91ps.
    To center the data eye, you would put IDELAYs on both the clock and the data. Keep the data delays as small as possible (ideally 0 on all bits except bit 5 which is 1), and then delay the IDELAY on the clock to make the data window work. You may need to adjust your OFFSET IN constraints to match the fact that you are pushing the clock forward (subtract 2ns from the OFFSET IN to indicate that the data window comes after the clock edge, not before) - thus your commands will be of the format
    TIMEGRP "ADC_1_DP_TIM_GRP" OFFSET = IN <x> ns VALID <y>ns BEFORE ADC_1_CLK_P_IN RISING;
    Where <x> will be a small negative number - on the order of 1.172-2.000.
    However, this will all only work if the clock is on a CC pair, not a GC pair (on Virtex-5 these were different), and that all LVDS pairs are in the same I/O bank as the clock pair. If your board is already designed, then you probably can't change this.
    If you can't use chip-sync (and even at that, timing is really tight), then you will have to use some sort of dynamic calibration.
    Avrum
     

  • The core clock is slow or no core clock connected for this ILA or the ILA core may not meet timing.

    [Labtools 27-1395] Unable to arm ILA 'hw_ila_1'. The core clock is slow or no core clock connected for this ILA or the ILA core may not meet timing.
    I have seen related forums but cant get any help. My timing is completely fine. I tried all JTAG frequencies from 1.5MHZ to `12 MHZ. My clk for ILA core runs at 100 MHZ.
    If i remove one IP , then the ILA core works.  THE BD is attached. If i remove the right most IP(HLS_croppin) it works. I really cant understand. There is nothign worng with the timin in either case.

    There are four possible reasons for this problem:
    - The trigger condition is never met;
    - The trigger clock (clock mapped to the ILA Core) is stopped;
    - A known issue exists with the Storage Qualification feature;
    - BUFG is not being used on JTAG CLK (for the ICON).
    The trigger condition is never met
    Check the message at the bottom of the ChipScope Analyzer window. If it is similar to "Waiting for trigger, Sample buffer has 0 samples(0%)," proceed as follows:
    1. Go to "Trigger Setup" and "Trigger Immediate." If ChipScope Analyzer starts the acquisition and shows the samples (the waveform appears), your design is fine; the clock is running, but your trigger condition never occurs.
    2. In the "Trigger Setup windows, ensure that you have set the condition correctly if you are sure that this event (the trigger condition) happens in your design.
    The trigger clock is stopped
    If the message at the bottom of the window is similar to "Waiting for Core to be armed, slow or stopped clock," the trigger condition is not the problem -- the ILA Core does not have a valid clock and is not able to start the acquisition. To fix this, ensure that you have mapped a valid clock (in ChipScope Inserter or ChipScope Generator). If you are not sure if the clock mapped to the ILA Core is running, try to connect your system clock instead (or a clock that you are sure is running).
    BUFG is not used on ICON's JTAG clock
    If the JTAG clock does not use a BUFG, the "Waiting for upload" message can appear.
    In some instances, slowing down the cable speed might provide a suitable work-around.
     

  • When I try entering a new event in iCal on my MacBook Air, I get an error message popping up on my screen that says: The request for account "iCloud" failed.  The server responded with "502" to operation CalDAVSetPropertyQueueableOperation. Help!!??

    When I try entering a new event in iCal on my MacBook Air, I get an error message popping up on my screen that says:
    The server responded with “502”
    The request for account “iCloud” failed. 
    The server responded with “502” to operation CalDAVSetPropertyQueueableOperation.
    The request for account “iCloud” failed.
    I am then given the option of staying Online or going Offline.
    Can anyone help me?
    thanks

    Hello b.krushel,
    Thank you for using Apple Support Communities
    Any number of things could cause this issue, so I would recommend this article named iCloud: Troubleshooting iCloud Calendar found here http://support.apple.com/kb/TS3999.
    These sections in particular:
    General Troubleshooting
    Make sure there are no current issues listed on the System Status that affect iCloud Calendar. The System Status is located on the iCloud Support webpage.
    Make sure you have fully enabled iCloud Calendar for the client you are using (for more setup and troubleshooting information on iOS, OS X, Windows and iCloud.com, see the additional details below).
    Check to see if the issue you are seeing is covered in iCloud Help. To search iCloud Help, visit help.apple.com/icloud.
    Check your settings and preferences as something may not be set up correctly. For example, having incorrect date and time settings can cause issues which are easily resolved by entering the appropriate settings.
    Troubleshooting Calendar on OS X Mountain Lion (iCal on OS X Lion)Note: For instructions on setting up iCloud Calendar with Calendar or iCal on your Mac, see iCloud Setup.If you are having trouble with Calendar or iCal on a Mac and you are using iCloud Calendar, try each of these steps, testing after each to see if the issue is resolved:
    Make sure you are using OS X Lion v10.7.2 or later. Click here for help verifying the version of OS X you are using.
    Make sure your computer is online. Attempt to view www.apple.com and iCloud.com. If you can't connect to the Internet, your iCloud calendars and events will not update in iCal. Click here for more information about troubleshooting your Internet connection.
    Verify that your iCloud member name is entered into the iCloud Preference pane in System Preferences. See iCloud Setup for more information about setting up iCloud on a Mac.
    Make sure you enter and update calendar information in the iCloud section of Calendar or iCal. Only calendars and events in the iCloud section of the Calendar or iCal Calendar list will be pushed to iCloud. Both Calendar and iCal for the Mac support the display of and interaction with multiple accounts and datastores, for example, iCloud, On My Mac, Exchange, Google, and so on. This makes it easy to create or modify events or calendars in a section of  Calendar or iCal that may not be updating iCloud. Learn more about moving local calendars to iCloud.
    In some cases, you may find that you have data duplicated in both the local On My Mac and the iCloud sections of Calendar or iCal. Learn more about resolving this issue.
    You can make the iCloud calendar your default calendar for all new events. In Calendar on OS X Mountain Lion, choose Calendar > Preferences > General, or in OS X Lion, choose iCal > Preferences > Generaland under the Default Calendar heading, select one of the Calendars under the iCloud heading. Close the Preferences window to save this change.
    If recent changes simply don't appear, try forcing Calendar or iCal to refresh by selecting Refresh All from theCalendar menu.
    Make sure your account was successfully created in Calendar on your Mac (or iCal). After upgrading to iCloud Calendar, you may need to quit and reopen Calendar (or iCal). To confirm your account is configured correctly in iCal:
    Open Calendar (or iCal).
    Open Calendar (or iCal) Preferences.
    Click Accounts. You should see an account with your iCloud member name entered.
    Turn iCloud Calendars off and back on
    Quit Calendar (or iCal).
    Choose Apple () menu > System Preferences > iCloud.
    Remove the checkmark in the checkbox next to Calendars.
    Close System Preferences and wait about a minute.
    Open System Preferences and choose iCloud.
    Replace the checkmark next to Calendars.
    Close System Preferences.
    Open Calendar (or iCal) and test to see if the issue has been resolved.
    Restart your computer. This may sound simple, but it does reinitialize your network and application settings and can frequently resolve issues.
    Take care,
    Sterling

  • Failed to install php-oci8 with instant Client for linux 64

    Hello,
    I have a 64-bits Linux box with RHEL4, and PHP version has been upgraded from 4.3.9 to 5.1.6. I try to make the connection to remote oracle server.
    I download instantclient-basic-linuxppc-10.2.0.2-20060327.zip , and follow the instruction to
    install it.
    But when i try to install php-oci8*.rpm, no matter which i use:
    php-oci8-5.1.6-1.el5.i386.rpm or php-oci8-4.3.9-2.2.el4.i386.rpm
    The installation always failed due to dependencies errors with oracle instant client.
    I also tried with some other packages like: php-oci8-4.3.9-3.el4.x86_64.rpm
    All my tries gave me dependency errors.
    sometime like:
    error: Failed dependencies:
    libclntsh.so.10.1 is needed by php-oci8-5.1.6-1.el5.i386
    oracle-instantclient = 10.2.0.3 is needed by
    php-oci8-5.1.6-1.el5.i386And I cannt find the right RPM for oracle instant client for linux 64 bits box, and php-oci8 5.1.6 64 bits.
    I have been trying on this problem for more than one week, and so frustrated. I will appreciate if I can be guide on this issue.
    Thanks in advance!

    See my followup to your duplicate post in the PHP forum

  • I faile to create outbound delivery with reference to scheduling agreement by using BAPI_OUTB_DELIVERY_CREATE_SLS.

    Dear Team,
    Can you please help me by providing the BAPI name for creating the outbound delivery for Scheduling Agreement in SAP SD .
    I faile to create outbound delivery with reference to scheduling agreement by using BAPI_OUTB_DELIVERY_CREATE_SLS.
    The system says "missing data in the BAPI interface: VBAK VBTYP E". It seems another FM should be used for scheduling agreement only.
    Thank you for your help in this regard .

    Hi Raghu.
    As much as I don't want to do this but just to resolve this error I created an enhancement implementation in include LV50R_CREACSV form routine dcs_check_so_vs_interface and modified VBTYP to blank to avoid that error message.
    Got the tip from this thread http://scn.sap.com/thread/1972059
    Unless... anybody has a brighter idea (without modifying the standards)?

Maybe you are looking for