FPGA 2010 Compilation error - TclTasklC:project_028: Unknown property value

Hi, I'm using a cRIO 9075 and a NI 9211 to measure temperature for a project busy with. Everytime I try t compile code I have a compilation error that read as follows:
Compilation failed due to a Xilinx error.
Details:
ERROR: TclTasksC: project_028:Unknown property value "spartan6" specified for "PROP_DevFamily"
Can someone help me on how to resolve this error, I'm using LabVIEW FPGA 2010 and Xilinx 11.5
Regards 
Solved!
Go to Solution.

What version of the NI-RIO driver do you have?
Attached is a chart with the different compatibilities of the RIO driver.
NI-RIO and LabVIEW Version Compatibility
http://digital.ni.com/public.nsf/allkb/577CC9A7DCFC73DF8625738400116CC3?OpenDocument
Is this a 64-bit machine? Also, the minimum version of LabVIEW that will work with the 9075 is LabVIEW 2010SP1. You can verify if you have this version by going into Measurement & Austomation Explorer and expanding software. If you do not have LabVIEW 2010SP1, you will need either the platform DVDs for 2010 SP1 or you can download the content from www.NI.com/src. 
You will also want to verify that you have the 2010SP1 FPGA module and 2010SP1 RT module.
Also, does this issue occur with all FPGA VIs that you try to compile or only the one that you are currently working on?
You can check by doing two things:
1. Try to compile a blank FPGA VI (i.e. nothing on the block diagram or front panel)
2. Try to compile an FPGA VI from one of the example LabVIEW projects 
Please post back if one of these troubleshooting steps resolved your issue or if you're still having problems.
Jordan

Similar Messages

  • Compile error when using a class by fully-qualified name

    Hi... Is it an AS3 "feature" or a FB compiler bug that I cannot use a class without importing it?
    I have a statement such as
    if (de.codebank.util.StringUtilities.startsWith(status.name, phase.name+"_"))
    which does not compile until I import the StringUtilities class, which is pointless, of course.
    The compile error mentions an unknown property "util"

    I believe this is how AS3 works. Fully qualified class types require import statement.
    You use fully qualified name whenever you want to avoid ambiguity.
    However, when the code is like,
    import mx.collections.XMLListCollection;
    var arr:mx.collections.ArrayCollection;
    It works since compiler now understands that "mx.collections" is a package.

  • Firefox not loading webpages from yesterday. I am getting a string of error and warning messages. I tried reloading but getting same messages. Like Parsing Value, Unknown property zoom. Lots of different errors. Cannot re-load pluggins. Help!

    Used Firefox for some time no problem until yesterday. Now it wont load web pages. Getting a string of warnings and errors. Tried reloading but getting same errors. Can't download pluggins. Error in Parsing, Unknown Property, Unknown Zoom, loads of messages like this for no apparent reason. Help please!
    == 10 July 2010

    Same thing started after updating to 3.6.6 on Window XP. Some pages load after doing "shift - Reload page", but not always. Error Console is showing a lot of "Errors" and lots of "Warnings" from pages that do not load. But before 3.6.6 update the pages loaded OK.

  • Compile error for DragManager.acceptDragDrop(event.target)

    This line
    DragManager.acceptDragDrop(event.target);
    seems to be giving me the following compile error:
    Implicit coercion of a value with static type Object to a
    possibly unrelated type mx.core:IUIComponent.
    (There is an arrow pointing to "target", I guess indicating
    that that is the Object causing the problem.)
    I'm not sure why this is giving me an error since the
    official Adobe document describing how to migrate applications from
    Flex 1.5 to Flex 2 says that
    DragManager.acceptDragDrop(event.target) is what's supposed to
    replace event.handled=true.

    Hi.
    The problem is that DragManager.acceptDragDrop expects a
    UIComponent but Event.target is typed as Object. You need to cast
    the target as a UIComponent. Try this:
    DragManager.acceptDragDrop(UIComponent(event.target));

  • HibernateSystemException: exception getting property value with CGLIB

    I am getting a hibernate exception error
    HibernateSystemException: exception getting property value with CGLIB
    does anyone know what it means?

    check the setter method for the property LANG_CD in com.dst.fourx.model.codeModel.CodeGroupDisplay.
    it must be like -
    setNlsLanguage(CodesGroup xyz) { ... }
    The paremeters must be objects, not the type of the database column. We can specify the actual field in CodesGroup which acts as the foreign key in CodeGroupDisplay in the "property-ref" attribute of <many-to-one> element in the mapping file for CodeGroupDisplay.
    This worked for me. Hope it works for you too.

  • FPGA code successfully compiled in 2010 is giving compilation error in 2014.

    I have developed RS485 FPGA code and successfully compiled with LabVIEW FPGA 2010.
    Later changed the software to 2014 and installed Xilinx 14.7.
    Now if I compile the same code with LabVIEW 2014 compile worker, i am getting error 'Process "Synthesis" failed'.
    I am using a case structure with Error cluster as selector, So the case structure has 'No error' and 'Error' cases.
    If I change the selector to 'True/False' then the compilation works.
    Below is the error that i am getting when using Case structure with Error cluster as selector
     LabVIEW FPGA: The compilation failed due to a Xilinx error. Details: ERROR:HDLParsers:1401 - "C:/NIFPGA/jobs/JyWfWcc_Gv3a9ah/NiFpgaAG_00000041_CaseStructureFrame_0001.vhd" Line 41. Object tunnel_s_error_out_2007 of mode OUT cannot be read. ERROR:HDLParsers:1401 - "C:/NIFPGA/jobs/JyWfWcc_Gv3a9ah/NiFpgaAG_00000041_CaseStructureFrame_0001.vhd" Line 42. Object tunnel_s_error_out_2007 of mode OUT cannot be read. --> Total memory usage is 190240 kilobytes Number of errors : 2 ( 0 filtered) Number of warnings: 1 ( 0 filtered) Number of infos : 0 ( 0 filtered)

    Attached FPGA_error_2014.jpg which depicts the working and 'not working' code in 2014.
    In 'Not working' code,the selector terminal is error cluster.
    I am able to resolve the issue just by changing the selecter terminal to boolean as in 'working code'.
     But both sets of software code works fine in version 2010.
    Attachments:
    FPGA_error_2014.jpg ‏128 KB

  • FPGA 2010 sp1 Compilatio​n error - TclTasksC:process_077: Failed to complete.

    Hi, I'm using a cRIO 9076, LabVIEW 2010 sp1, LabVIEW RT 2010 sp1, LabVIEW FPGA 2010 sp1, NI-RIO 4.0, Xilinx Compile Tools 11.5. Everytime I try to compile code I have a compilation error that read as follows (The same error come up if I try compiling a very simple VI):
    Compilation failed due to a Xilinx error.
    Details:
    ERROR:TclTasksCrocess_077: Failed to complete. Please inspect the log and report files.false
        while executing
    "process run "Synthesize - XST""
        (file "C:\NIFPGA\jobs\ErIbY60_RB6swoa\synthesize.tcl" line 20)
    ERROR:HDLCompiler:806 - "C:\NIFPGA\jobs\ErIbY60_RB6swoa\PkgCommIntConfiguration.vhd" Line 64: Syntax error near ",".
    ERROR:HDLCompiler:69 - "C:\NIFPGA\jobs\ErIbY60_RB6swoa\PkgCommIntConfiguration.vhd" Line 72: <knumberofdmachannels> is not declared.
    ERROR:HDLCompiler:854 - "C:\NIFPGA\jobs\ErIbY60_RB6swoa\PkgCommIntConfiguration.vhd" Line 29: Unit <pkgcommintconfiguration> ignored due to previous errors.
    Parsing package body <PkgCommIntConfiguration>.
    ERROR:HDLCompiler:688 - "C:\NIFPGA\jobs\ErIbY60_RB6swoa\PkgCommIntConfiguration.vhd" Line 135: Package declaration pkgcommintconfiguration is not yet compiled
    ERROR:HDLCompiler:69 - "C:\NIFPGA\jobs\ErIbY60_RB6swoa\PkgCommIntConfiguration.vhd" Line 137: <boolean> is not declared.
    ERROR:HDLCompiler:69 - "C:\NIFPGA\jobs\ErIbY60_RB6swoa\PkgCommIntConfiguration.vhd" Line 138: <natural> is not declared.
    ERROR:HDLCompiler:69 - "C:\NIFPGA\jobs\ErIbY60_RB6swoa\PkgCommIntConfiguration.vhd" Line 140: <knumberofdmachannels> is not declared.
    ERROR:HDLCompiler:24 - "C:\NIFPGA\jobs\ErIbY60_RB6swoa\PkgCommIntConfiguration.vhd" Line 140: "**" expects 2 arguments
    ERROR:HDLCompiler:69 - "C:\NIFPGA\jobs\ErIbY60_RB6swoa\PkgCommIntConfiguration.vhd" Line 141: <maxwidth> is not declared.
    ERROR:HDLCompiler:69 - "C:\NIFPGA\jobs\ErIbY60_RB6swoa\PkgCommIntConfiguration.vhd" Line 143: <maxwidth> is not declared.
    ERROR:HDLCompiler:69 - "C:\NIFPGA\jobs\ErIbY60_RB6swoa\PkgCommIntConfiguration.vhd" Line 146: <boolean> is not declared.
    ERROR:HDLCompiler:69 - "C:\NIFPGA\jobs\ErIbY60_RB6swoa\PkgCommIntConfiguration.vhd" Line 147: <positive> is not declared.
    ERROR:HDLCompiler:69 - "C:\NIFPGA\jobs\ErIbY60_RB6swoa\PkgCommIntConfiguration.vhd" Line 150: <knumberofdmachannels> is not declared.
    ERROR:HDLCompiler:69 - "C:\NIFPGA\jobs\ErIbY60_RB6swoa\PkgCommIntConfiguration.vhd" Line 151: <maxdepth> is not declared.
    ERROR:HDLCompiler:69 - "C:\NIFPGA\jobs\ErIbY60_RB6swoa\PkgCommIntConfiguration.vhd" Line 154: <maxdepth> is not declared.
    ERROR:HDLCompiler:69 - "C:\NIFPGA\jobs\ErIbY60_RB6swoa\PkgCommIntConfiguration.vhd" Line 157: <natural> is not declared.
    ERROR:HDLCompiler:69 - "C:\NIFPGA\jobs\ErIbY60_RB6swoa\PkgCommIntConfiguration.vhd" Line 158: <natural> is not declared.
    ERROR:HDLCompiler:69 - "C:\NIFPGA\jobs\ErIbY60_RB6swoa\PkgCommIntConfiguration.vhd" Line 161: <knumberofdmachannels> is not declared.
    ERROR:HDLCompiler:69 - "C:\NIFPGA\jobs\ErIbY60_RB6swoa\PkgCommIntConfiguration.vhd" Line 163: <numofchannelsused> is not declared.
    Sorry, too many errors..
    -->
    Total memory usage is 136244 kilobytes
    Number of errors   :   19 (   0 filtered)
    Number of warnings :    0 (   0 filtered)
    Number of infos    :    0 (   0 filtered)
    Process "Synthesis" failed
    Start Time: 10:15:58 a.m.
    End Time: 10:16:24 a.m.
    Total Time: 00:00:26,171
    Can someone help me on how to resolve this error?
    Regards 

    Hello CracKatoA
    Did you make some update before this error starts to occur? Or you have never compliled any VI on this computer.
    In case you have compiled any VI previously successfully on this computer, try to reinstall the LabVIEW FPGA module.
    You can also try the following knowledge base:
    http://digital.ni.com/public.nsf/allkb/1F8F94CF0B7D2608862577AF0072662B
    Regards,
    Plínio Costa
    Application Engineer
    National Instruments Brazil

  • FPGA compilation error 1026 using LV2011SP1

    I just upgraded to LabVIEW 2011SP1 and I cannot get a PCI-7831R to compile.  In addition to LV2011SP1, I have the following installed:
     - FPGA (Version 11.0.1)
     - NI-RIO (Version 4.01)
     - Xilinx Tools (10.1)
    I have a very simple VI that just has a Boolean control on the front panel sending a value to an FPGA I/O on the block diagram.  I have created/compiled more complex VI's using LabVIEW 2010 without any issues.  My issue with 2011SP1 is that once the intermediate files have been generated, a Code Generation Error screen pops up with Internal Errors.  It says
    Error 1026 occurred at an unidentified location
    Possible Reason(s):
    LabVIEW: VI reference is invalid
    I'm not sure what VI reference it is referring to.  I have tried to compile this just as I have in the past, but to no avail.  I have removed previous versions of LabVIEW from my machine and installed/re-installed the LabVIEW software and other modules from the distribution DVD's.  It seems as though I always get into trouble when trying to upgrade the NI software. 
    Is there something that I am missing.  I get the same message when trying to compile the examples that are included with the installs.  I know that my R-Series card is installed and working properly because I can run sequences via TestStand that call VI's I created using LV2010.  Any help would be appreciated!
    Attachments:
    ErrorWindow.jpg ‏40 KB

    Hey Josh,
    Thanks for responding.  Late friday I added the LabVIEW real time drivers and was able to compile this once earlier this morning with no errors.  I then made a small changes (by putting the Boolean control in a while loop) and tried to compile again.  Now I keep getting various Xilinx compile errors.  Starting to get frustrated since the errors vary slightly every time I try to compile.  I will attach a couple of screen shots as well as a zip file that contains the project and VI that I am trying to compile.
    Attachments:
    tryout.zip ‏26 KB
    Screen_Capture02 Apr. 02 12.27.jpg ‏87 KB
    Screen_Capture03 Apr. 02 12.30.jpg ‏55 KB

  • FPGA Compile error and cannot find the compilatio​n server

    Hi,
    My name is Bonghun. I recently installed the Labview 2011; previously, I installed 2010 and Labview worked very well.
    I am using a Compact Rio (cRIO 9022 and chassis 9114).
    I installed Labview 2011, Real time and FPGA modules including crio 4.0, Xilinx 10.1 and 12.4.
    When I compile a FPGA.vi, it doesn't compile FPGA.vi.
    Labview requests the message which ask to select compile server. After selecting the local compile server, Labview
    requests at each step again and again.
    Error number is Error - 123002. occured at niFpgaCompileFarm_OpenJob.vi
    I tried to remove all programs and re-install several times but still I could not find the solution.
    Please help me and let me know what is problem in my system.
    Thank you.
    Attachments:
    Trouble in compile.ppt ‏179 KB

    I am having this issue with LabVIEW 2012 running in Windows XP on a PXI-1042Q to run an FPGA on cRIO-9075.
    I had no problem running through the process on my office PC with the same Software/Modules/Drivers installed. Compiler ran without problems.
    I ported my project over to the PXI Chassis and cannot compile because CompileWorker closes right after intermediate files finish generating. I am using Local Server and all the necessary modules including Xilinx are installed (I uninstalled EVERYTHING and did a complete reinstall also and still get the same result). 
    When the Compilation Status Window comes up, it says Attempting to connect to compile server and CompileWorker immediately says it has Encountered a Problem and needs to close. The Compilation status Window gives me a message saying:
    "LabVIEW FPGA: The compilation cannot be performed by the compile worker. The compile worker may be configured incorrectly for this compilation, or it may be in an error state."
    I also tried making a new project with a simple FPGA VI and tried compiling and got the same results.
    Any thoughts?

  • Can't Export Movie - Unknown Compile Error - Help!

    I edited a 32 minute movie in Premiere Elements 10, and now I'm trying to export it.  At some point during the export, and it seems to vary, I get an unknown compile error.  I've been trying to export the movie for the past week and all I get is failure despite trying just about everything I've found written about how to solve this.
    I have Win 7 Ultimate SP 1 running on a Lenovo desktop machine with an Intel Core 2 Quad CPU at 2.67 GHz, 4GB of RAM, 32 bit proc.
    Premiere is installed on system C drive with 6 GB of free space.
    Project and scrach disks are on D drive with 165 GB of free space.
    Media files are on 2nd E drive with 50GB of free space.
    Project and most source material is NTSC DV -- there are quite a few photos with motion, plus some iPhone HD video on the timeline, hundreds of clips in all plus narration, a few titles, etc.
    Export destination folder is on D drive.
    Everything will render to green lines atop timeline (sometimes it quits during render and I have to keep hitting Enter to continue rendering, but eventually it's all green)
    So far I've tried:
    - Reinstalling PE 10
    - Reinstalling Quicktime
    - Copying all the photos to their own separate folder
    - Editing each photo slightly (outside of PE 10) and resaving to make sure none of the photos are corrupted
    - Emptying the temp folder
    - Deleting all the renders and cache files and letting them rebuild
    - Exporting small pieces of the timeline to try to identify a bad clip -- this is a maddening process and I did find one clip that would generate the error, but I managed to export that to AVI and reimport and replace on timeline.  It would be awfully nice if PE would just TELL ME which clip it doesn't like if in fact it's a clip error.
    - Turning off the shadow / highlight feature I had on some clips, but this wasn't the issue, smaller sections with these clips exported fine
    - Tried exporting to various formats: Vimeo SD, MPEG DV Standard, iPad Standard High Quality, AVI -- all result in the same error (the format I want by the way is Vimeo SD)
    - Rebooting the machine and turning everything off that might possibly consume memory
    This machine has never had problems exporting in the past.  One thing I did try that worked -- installed PE 10 on another (less capable) Win 7 machine, and fed it all the media via an external drive.  The other machine did the export fine (though it took a while given it is underpowered).  This is nothing more than a work around though as I don't normally have access to this machine and I'm not done editing.
    I'm at my wits' end!  What else can I do to get this movie file to export?
    Appreciate any help or clues you can provide to solve this mystery.

    Oh, that is tragic!
    I never had any issues with mine. Maybe it knew how respectful I was, having come from manual rewinds and a sync block? The Moviola was a godsend, at least for me. I am glad that I got to edit film, and on many setups, as some of that translated well to digital Video. Back when I was in film school, Video was 2" tape, and the only editing was by cutting at a 45 degree angle, and splicing the tape. There was not even deck-to-deck editing, way back then. Also, Video looked like crap, at least to my eyes. We could only use a switcher, to "edit," or do a feed to air. Looked like crap!
    As for the Lexus, I can imagine all sorts of problems. My new LX-570 requires me to "Accept," when I put it into reverse, on the rear-view monitor! At least my wife's MB does not require that, but it is a 2011 model, so maybe the 2013 models will require that the user sign off on all sorts of "stuff."
    Now, I can play Finding Nemo, for my rear-seat passengers, while driving, but the front-seat passengers cannot see it, which is just fine. When driving, I am not even a fan of hands-free calls, and declined most of the streaming stock quotes, etc. for XM/Sirius radio. NOT while I am driving. I will save that for reading the WSJ in the club at the airport.
    Some years ago, our driver in London got a new BMW 7-series, and it allowed the playing of DVD's, even in the front, while driving. Not sure that I would want that, but such is life. At least his seats' ventilation system was "well-chilled," where ours' are just air. He almost froze my bum, showing off his new auto.
    Now, working with PS, or PrE in an auto, or even on a mobile device, is just not something that I can wrap my old head around. I want a fast computer, with a big display, and cannot imagine editing Images, or Video, on any handheld (or auto) device.
    Hunt

  • FPGA Compile error - Actual of formal out port cout cannot be an expression

    Details:
    ERROR:HDLCompiler:192 - "C:\NIFPGA\jobs\BPO5kq2_O6tyN2U\OC4_Sine_Cosine_LUT_Constant_Amplitude_dash_optimised_vi_c.vhd" Line 1408: Actual of formal out port cout cannot be an expression
    ERROR:HDLCompiler:854 - "C:\NIFPGA\jobs\BPO5kq2_O6tyN2U\OC4_Sine_Cosine_LUT_Constant_Amplitude_dash_optimised_vi_c.vhd" Line 69: Unit <vhdl_labview> ignored due to previous errors.
    VHDL file C:\NIFPGA\jobs\BPO5kq2_O6tyN2U\OC4_Sine_Cosine_LUT_Constant_Amplitude_dash_optimised_vi_c.vhd ignored due to errors
    -->
    The compilation gets to the "Estimated device utilisation" stage but then stops shortly after with a compilation error.
    The Line in question (1408) relates to the output of a "Reinterpret FXP" node with the text
    cOut => (others => '0'),
    in the port map portion of the code.  This corresponds to the output of the FXP reinterpret node being directly connected to an indicator in a sub VI whose output is then input directly to a high thoughput multiply node.  The code is part of a sinus cosinus LUT I have programmed.  It used to compile no problem but I think I know where the problem is.  In one instance I only utilise the Sinus output of the algorithm and theoretically, Xilinx can optimise away the Cosinus part.  I have two instances of this VI in my code and looking at the one NOT generating errors, the output is associated with a Cosinus indicator.
    cOut => s_Cosine_2434,
    It would seem that the pathway is essentially optimised away but the Xilinx compiler has a problem with the indicator being present on the sub-VI but the idnicator not being utilised anywhere.  As such, the cOut gets set to an invalid value.  I assume the immediate proximity of the FXP Reinterpret to the output of the sub-VI is an important aspect of this problem.
    I think I know enough now to fix this problem (manually remove the path by duplicating the sub-vi) but this is perhaps a useful feedback for future bugfixes in the FPGA module.  This isn't the first time this kind of incorrect code removal has given me problems but it's the first time I've been able to clearly locate the problem.
    Shane
    Say hello to my little friend.
    RFC 2323 FHE-Compliant
    Solved!
    Go to Solution.

    I am currently attempting a compile after changing some things.
    Just a side question.  Is this particular to the Reinterpret node or are other "pink nodes" also affected by this?  If I don't connect the output of a high throughput add, will it result in the same behaviour?
    PS OK, it seems to be compiling now.  I managed to juggle around the nodes a bit in my sub-VI to make sure the "reinterpret" is not the last node before the indicator.  It seems to be compiling now.  A question which is in my head at this time is: Does the "reinterpret" node prevent anything before it from being optimised away by the Xilinx compiler?  Are there other nodes which cannot be removed, even if the outputs are not being used?  This would immediately seem to suggest to me that such nodes need to be as close to the source as possible in order to reduce the amount of code which cannot be removed as "dead code" during the Xilinx compile process.
    Say hello to my little friend.
    RFC 2323 FHE-Compliant

  • Fpga compile error Port width mismatch

    When I try to compile a FPGA vi, the following error occurs. 
    LabVIEW FPGA: The compilation failed due to a Xilinx error.
    Details:
    ERROR: [Synth 8-549] port width mismatch for port 'cEIOParameter0Signal': port width = 32, actual width = 24 [C:/NIFPGA/jobs/Riuys15_TD5ekmx/NiFpgaAG_0000051e_SequenceFrame.vhd:41]
    ERROR: [Synth 8-285] failed synthesizing module 'NiFpgaAG_0000051e_SequenceFrame' [C:/NIFPGA/jobs/Riuys15_TD5ekmx/NiFpgaAG_0000051e_SequenceFrame.vhd:21]
    ERROR: [Synth 8-285] failed synthesizing module 'NiFpgaAG_0000051d_CaseStructureFrame_0002' [C:/NIFPGA/jobs/Riuys15_TD5ekmx/NiFpgaAG_0000051d_CaseStructureFrame_0002.vhd:33]
    ERROR: [Synth 8-285] failed synthesizing module 'NiFpgaAG_0000051a_SequenceFrame' [C:/NIFPGA/jobs/Riuys15_TD5ekmx/NiFpgaAG_0000051a_SequenceFrame.vhd:34]
    ERROR: [Synth 8-285] failed synthesizing module 'NiFpgaAG_00000514_CaseStructureFrame_0001' [C:/NIFPGA/jobs/Riuys15_TD5ekmx/NiFpgaAG_00000514_CaseStructureFrame_0001.vhd:41]
    ERROR: [Synth 8-285] failed synthesizing module 'NiFpgaAG_00000513_CaseStructureFrame_0000' [C:/NIFPGA/jobs/Riuys15_TD5ekmx/NiFpgaAG_00000513_CaseStructureFrame_0000.vhd:50]
    ERROR: [Synth 8-285] failed synthesizing module 'NiFpgaAG_00000510_CaseStructureFrame_0000' [C:/NIFPGA/jobs/Riuys15_TD5ekmx/NiFpgaAG_00000510_CaseStructureFrame_0000.vhd:143]
    ERROR: [Synth 8-285] failed synthesizing module 'NiFpgaAG_00000507_CaseStructureFrame_0001' [C:/NIFPGA/jobs/Riuys15_TD5ekmx/NiFpgaAG_00000507_CaseStructureFrame_0001.vhd:146]
    ERROR: [Synth 8-285] failed synthesizing module 'NiFpgaAG_000004ff_WhileLoop' [C:/NIFPGA/jobs/Riuys15_TD5ekmx/NiFpgaAG_000004ff_WhileLoop.vhd:157]
    ERROR: [Synth 8-285] failed synthesizing module 'DM_dash_1575_ModuleResource_vi_colon_Clone19' [C:/NIFPGA/jobs/Riuys15_TD5ekmx/DM_dash_1575_ModuleResource_vi_colon_Clone19.vhd:144]
    ERROR: [Synth 8-285] failed synthesizing module 'niFpgaMDKSupportARV_vi_1_colon_Clone18' [C:/NIFPGA/jobs/Riuys15_TD5ekmx/niFpgaMDKSupportARV_vi_1_colon_Clone18.vhd:143]
    ERROR: [Synth 8-285] failed synthesizing module 'NiFpgaAG_Manager' [C:/NIFPGA/jobs/Riuys15_TD5ekmx/NiFpgaAG_Manager.vhd:96]
    ERROR: [Synth 8-285] failed synthesizing module 'TheWindow' [C:/NIFPGA/jobs/Riuys15_TD5ekmx/TheWindow.vhd:196]
    ERROR: [Synth 8-285] failed synthesizing module 'toplevel_gen' [C:/NIFPGA/jobs/Riuys15_TD5ekmx/toplevel_gen.vhd:110]
    Finished RTL Elaboration : Time (s): cpu = 00:00:53 ; elapsed = 00:01:04 . Memory (MB): peak = 861.121 ; gain = 715.336
    ERROR: [Common 17-39] 'source' failed due to earlier errors.
    INFO: [Common 17-83] Releasing license: Synthesis
    1738 Infos, 30 Warnings, 2 Critical Warnings and 14 Errors encountered.
    synth_design failed
    ::ERROR: [Common 17-39] 'source' failed due to earlier errors.
    while executing
    "source -notrace {./.Xil/Vivado-9588-/realtime\toplevel_gen.tcl}"
    invoked from within
    "synth_design -top "toplevel_gen" -part "xc7k70tfbg676-1" -flatten_hierarchy "full""
    (file "C:/NIFPGA/jobs/Riuys15_TD5ekmx/synthesize.tcl" line 25)
    invoked from within
    "source "C:/NIFPGA/jobs/Riuys15_TD5ekmx/synthesize.tcl""
    # exit
    INFO: [Common 17-206] Exiting Vivado at Thu May 07 10:24:37 2015...
    I am not sure what the error is all about. Could someone help me?

    It's usually better to take a look at where the code failed to compile in the process than trying to read through those logs.  In future cases, you'll want to show that information as well.
    Depending on your hardware, you might have been able to see the compile happen simply by hitting compile again. 

  • Fpga DMA FIFO compilation error

    Hello,
    I have a cRIO 9074 with FPGA. I am trying a simple piece of code to learn how to aquire data that is generated on the FPGA at a rate of 10 KHz and transfer it to the Host VI for processing it later offline. However, I encounter this compilation error when compiling this basic FPGA VI containing a FIFO
    write node (picture of the VI attached below). In the Compilation Report, it says that there were 256 Block RAMs used (the
    total number is 40), therefore an error was produced. The exact compilation error
    notification from the Xilinx report is reproduced below:
    # Starting program map
    # map -o toplevel_gen_map.ncd -intstyle xflow -timing toplevel_gen.ngd
    toplevel_gen.pcf
    Using target part "3s2000fg456-4".
    Mapping design into LUTs...
    Running directed packing...
    Running delay-based LUT packing...
    ERRORack:2310 - Too many comps of type "RAMB16" found to fit
    this device.
    ERROR:Map:115 - The design is too large to fit the device.  Please check the Design Summary section to
    see which resource requirement for your design exceeds the resources available
    in the device. Note that the number of slices reported may not be reflected
    accurately as their packing might not have been completed.
    NOTE:  An NCD file will still be
    generated to allow you to examine the mapped design.  This file is intended for evaluation use only,
    and will not process successfully through PAR.
    Mapping completed.
    See MAP report file "toplevel_gen_map.mrp" for details.
    Problem encountered during the packing phase.
    Design Summary
    Number of errors   :   2
    Number of warnings : 125
    ERROR:Xflow - Program map returned error code 2. Aborting flow
    execution...
    Bitstream Not Created
    Timing Analysis Passed
    What does this mean? How can I fix this error?
    Thank you,
    Bogdan
    Solved!
    Go to Solution.
    Attachments:
    FPGA.png ‏16 KB

    Sorry, I forgot to mention that...LAbVIEW 2009. And yes, this is the only loop on the FPGA.vi. I just made up this code to understand how exactly I would save some data on the host for subsequent processing, but I didn't get to that point because the VI on the FPGA does not compile successfully. Do you know of any example of the most basic code for DMA FIFOs between the FPGA and Host Computer? This should be pretty straight forward, but for some reason it's not.
    Thanks,
    Bogdan

  • Labview 2011 FPGA Compile Error

    Hi,
    I'm new to FPGA. I want to use Labview 2011 SP1 with the Spartan 3E starter kit from Xilinx (Spartan 3E driver available from NI labview website).
    I'm trying to work my way through the examples that came with the driver. I've run into the same compiler error with a number of the examples. The error is attached.
    Error 7 occurred at Read from Text File in niFpgaCompileWorker_CheckForErrors.vi->niFpgaCompileWorker_JobComplete.vi->niFpgaCompile_Worker.vi:1
    Possible reason(s):
    LabVIEW:  File not found. The file might have been moved or deleted, or the file path might be incorrectly formatted for the operating system. For example, use \ as path separators on Windows, : on Mac OS X, and / on Linux. Verify that the path is correct using the command prompt or file explorer.
    C:\NIFPGA\compilation\Shift_FPGATarget_Shift_87E8371C\Spartan3EStarter.bld
    I've checked the registry to ensure that the path to the compiler is correct.
    Any assistance would be welcome.
    Regards,
    James.
    Attachments:
    Labview2011_FPGA_CompileError.JPG ‏96 KB

    Hi,
    I don't have any Xilinx tools installed - other than the ones that installed with the Labview FPGA module.
    I have attached the compilation logs and output results from my attempt.
    I have renamed the extension on the following files from .lvtxt to .txt
    BuildResults.txt
    CodeGenerationResults.txt
    Regards,
    James
    Attachments:
    BuildResults.txt ‏5 KB
    XilinxLog.txt ‏42 KB

  • Crio FPGA Compiling error

    Hello All,
    I've run into a compiling error that has me stumped. I'm using labview 8.5.1, NRIO 2.4.0, and FPGA 8.5.1 on a CRIO-9014 & 9104. When compiling the code attached I get the following error:
    Analyzing generic Entity <bushold> in library <work> (Architecture <rtl>).
    ERROR:Xst:807 - "C:/NIFPGA85/srvrTmp/LOCALH~1/PLANEV~1/bushold.vhd" line 1541: arguments of 'or' operator must have same lengths.
    -->
    Total memory usage is 546644 kilobytes
    Number of errors   :    1 (   0 filtered)
    Number of warnings :  540 (   0 filtered)
    Number of infos    :    2 (   0 filtered)
    ERROR:Xflow - Program xst returned error code 6. Aborting flow execution...
    My best guess is this error has something to do with the metafiles generated by labview before final compilation into the FPGA bitstream. I've tried tweaking the code here and there and haven't found the source of the error yet. I'm going to go through with disable blocks and see where the error lies.
    Anyone else run into something like this? I could use some help.
    Attachments:
    FPGA Code.zip ‏1354 KB

    Hi mmalluck,
    Clusters of 1D arrays are supported in LabVIEW FPGA.  I was able to compile a small VI with code similar to yours - a for loop with four autoindexed tunnels bundled into a cluster. 
    I am not entirely sure why separating the arrays eliminated that error, but I am glad to hear that your program compiles now. 
    Jennifer R.
    National Instruments
    Applications Engineer

Maybe you are looking for

  • Canon C2550 no longer allows Macbook to print...

    Hello everybody! I recently moved to a new office and began printing to our Cannon ir C2550. I did the basic install when I arrived and all was good (except for the machine being old and cranky.) However, out of the blue this week my computer has sto

  • HT1600 Apple TV update unsuccessful, how to update Apple TV software

    Apple TV update unsuccessful, how to update Apple TV software

  • Need help with Language option!

    Can I choose a template or copy an existing form, and translate it into another language?  How do I do this?  I can't find any help in the FAQs....

  • New DB in OEM grid

    Hi, I installed Oracle with a 10gR2 database created by downloading and installing 10201_database_win32.zip. Then in OEM I could see my created database. Then I installed another Database using DBCA. but I can not see this one in OEM grid. Why ? What

  • 4G not showing in Iphone5

    I have purchased the Iphone 5 from Dubai, but I am using it in Saudi Arabia. I have 4G plan and I am in network coverage area as well. But my iphone5 always shows me 3G. I have tried doing some basic things like reset, restart but it was of no use.