Invalid readings with additional inputs

I am using a PCI-MIO-16E-4 daq. I have three 0-100 mV input channels and three 0-10V inputs. If the 0-10V channels are read simultaneously with 0-100mV channels, the 0-100mV inputs are incorrect. Is this a common problem? How do I fix it?

It seems that the gain setting is not set right.
For the channels that are 0-100 mv, set the gain to min=0, max=100mV
and
for the 0 to 10 v, set it to min=0, max=10 volts
There could be issues (with settling time)at high scan rates when the gains are set differently, but for most part, it should work.

Similar Messages

  • E72 or E7 with additional input languages and spel...

    Hi.
    I have a E72 with swedish keyboard and a language package with english, swedish and finnish.
    i am occasionally writing messages in other languages as well (norwegian and polish).
    norwegian input is not a problem, i just use the Symbol key. Polish symbols are not possible though.
    And spellcheckers (prediction) is not possible for norwegian nor polish.
    i have seen it is possible on android phones.
    i really do not need a proper polish keypad as long as i can create the characters, but i would very much appreciate predictive input for norwegian and polish.
    Is there a way to add polish as an input language and add the two spellchecker languages without changing the language package from swedish on the E72?
    how about the E7?

    Hi
    These phones only have standard language packs, so you can't add what you want. There is a complete list of all the language packs somewhere, but I have no idea, which of them contain Polish. Mine has Danish, English, Icelandic and Norwegian...
    I would love Brazilian Portuguese, which I write quite a lot, but then with Portuguese you _can_ get away with no accents at all, although it doesn't look very nice...
    hughm_nyksj_dk

  • ObjectListItem with additional input controls like Switch

    Hi there
    I have a sap.m.List and use ObjectListItem to display the information for every single item. However, I have the requirement to add input controls like sap.m.Input and sap.m.Switch. As the layout of ObjectListItem works great for me, I don't want to switch to another ListItem type.
    Is there a way to do this?
    If not, how can this be solved? Is it needed to extend the ObjectListItem? If so, in which way do I have to extend it?
    Any ideas would be great!
    Best regards
    Tobias

    Hi Tobias,
    As I understand, you want to create a list, which will have Label at Left and the desired UI Control(Input, or Button, etc) on Right side, like below ->
    Inside a List view -->
    Label1    Input
    Label2    Button
    Label3    Switch
    corect?
    This can be done. Please check following code.
                      new sap.m.List({
                        items : [
                            new sap.m.InputListItem({
                                label : “Quantity”,
                                content : new sap.m.Input( { value : “10” } )
                            new sap.m.InputListItem({
                                label : “Product Name”,
                                content : new sap.m.Input( { value : “Cake”, type : sap.m.InputType.Password } )
                                new sap.m.InputListItem
                                  label : "Discount",
                                  selected : true,
                                  content :
                                this.CheckBoxRememberMEOption,
                                  select : function()
    Hope you are looking for something like this. Do let me know.
    Regards,
    Suraj Kumar

  • EAN/UPC check digit creation with Direct Input

    Hi Experts,
    I am working on Material data transfer using Direct Input. Requirement is to update the EAN/UPC for each Alt. UOM in Additional data view of Material master.
    Further we need to set Indicator for automatic Determining the check digit for EAN .
    I got Direct IP structure BMMH8 and BMMH6 for transfeering this data but didnt got Indicator field which I can set to automatic determining the check digit for EAN
    Please let me know how to pass indicator for finding the check digit automatically while creating materials using Direct Input method.
    Thanks in Advance...
    <i>-Harkamal</i>

    Hi,
    Thnaks for your reply, This is my requirement.
    Here my problem is i am trying to upload the data from flatfile which contain materil number, denominator, Actual UOM, Nominator field values.
    Which is the data i need to upload into MM02 and MM01, if material number is new then it has to create the material, if material is already existing it has to update the UOM values.
    here i am getting data into my internal table INP_DATA, from that i am trying to upload the data to database by using job name MRP_MATERIAL_MASTER_DATA_UPLOAD with direct input program RMDATIND.
    when i execute my program i am getting success message all the records writtin from flatfile to application server. and job started message.
    then if i go into sm37 screen there i execute the job it is also giving active message. if i refresh it it is showing job completed message.
    then i look at job log status. there i found that for existing material it is expecting material type, for new material it is giving some gravity error.
    So could u help me in this it will be gr8.
    Thanks & Regards,
    RamNV

  • Invalid tag found: unexpected input while looking for attr name or '/ '

    Hi All,
    I am using apach myfaces version 1.1.5 and tomahawk version 1.1.5 in my project with tomcat 6.
    On one of my jsp page i am having a text box with value like D:\myfolder\subfolder\ .
    When this page is rendering i am getting following warning at console
    WARN  [http-8080-2] 10-07 16:26:46 Invalid tag found: unexpected input while looking for attr name or '/>' at line 212  (ReducedHTMLParser.java:566)Is there any way i can get rid of this problem?
    Thanks and Regards,
    Khush.

    panky_p wrote:
    One more thing have modifying the value for D:\myfolder\subfolder\ . As it has invalid escape sequence and string value will not be returned
    you will have to manipulate your string.
    Edited by: panky_p on Oct 7, 2009 11:38 AM
    you have to manipulate and add escape sequences somethin like "D:\\myfolder\\subfolder\\" or "D:/myfolder/subfolder/"Hi Panky,
    I have just downloaded myfaces blank war file with myfaces-api-1.1.6.jar , myfaces-impl-1.1.6.jar and tomahawk-1.1.7-SNAPSHOT.jar for testing purpose and then in helloword.jsp i write the code like followings:
    <%@ taglib uri="http://java.sun.com/jsf/html" prefix="h" %>
    <%@ taglib uri="http://java.sun.com/jsf/core" prefix="f"%>
    <html>
        <head>
            <title>Hello World</title>
        </head>
        <body>
            <f:view>
                <h:form id="form">
                  <h:panelGrid id="grid" columns="2">
                    <h:outputText id="output1" value="Please enter your name"/>
                    <h:inputText id="input1" value="D:\\spool\\test_receiver_11\\" required="true"/>
                    <h:message id="message1" for="input1"/>
                  </h:panelGrid>
                </h:form>
            </f:view>
        </body>
    </html>And in the console i again got the following err :
    WARNING: Invalid tag found: unexpected input while looking for attr name or '/>' at line 10. Surroundings: '" name="form_SUBMIT" value="1"'.
    Can you check again?

  • Firewire Audio interface with 4 inputs?

    Hi, as a band we've decided we want to be able to record our drums and bass tracks on one take, then add the other instruments as tracks afterwards.
    Our drum has 4 mics (bass drum, snare, hi-hat, ride) and the bass we'd plug directly into the interface.
    I imagine getting an interface with 5 inputs is unlikely, so we could sacrifice the ride and put that on afterwards, which would free up one input for the bass guitar.
    We were looking at this interface:
    http://www.presonus.com/fp10.html
    which I think would work well, but it's 499$ (Canadian) and if we could find a Firewire interface with 4 inputs which are XLR and 1/4", we'd probably go for that instead. Also, two of the microphones are condenser mikes, so they'd need phantom power.
    I've done searches online and I seem to only be able to find interfaces that are minimum 8, or max 2 XLRs.

    Its there on the link
    Inputs 3 and 4 are stunningly flexible balanced universal inputs. Firstly, they operate exactly as inputs 5 to 8 on the rear and can be adjusted to accept standard studio input levels (+4 dBu, LoGain). Furthermore, the input impedance can be switched from Line (10 kOhm) to Instrument (470 kOhm). An additional analog input amplification of up to 18 dB (in steps of 0.5 dB) is also available. In short: There's no signal that these inputs can't deal with perfectly!
    Just get two Balance to XLR plug/Cables.
    Fr.BlayZay

  • ADF JSF Shuttle Component - Additional input fields

    Hello,
    We're in the process of integrating the nice shuttle JSF component into our application. Our app though requires that before the assignment is done (by moving an item left ->right in the shuttle UI) a dialog window must be opened to allow the user to fill in additional input fields (i.e the targe N-M association table that is populated by the shuttle component, needs those additional fields filled for each new record).
    Is there a way to do that with the current shuttle component?
    Thanks in advance for any help.
    Jordi

    ckx,
    The components don't require a model reference (i.e. assocaition with a JavaBean). You can access all of the components on the posting page on the server, so you could definitely get all of the values that way. You can build up the tree dynamically as well.
    So the short answer is yes :-).
    <<KM>>

  • OTL (Additional Input Value) help greatly appreciated

    Hi there,
    I have a requirement where we wish to use OTL for a front end to a number of allowances which require the population of additional input values on the element. These could be for example miles travelled or the method of travel.
    Does anyone know how this can be done?
    I am envisaging creating an element with the following input values :-
    Hours
    Multiple
    Rate
    Rate Code
    Miles
    I need the additional field to be displayed on the timecard. Does this require custom timecard templates? How do I make the additional field on the timecard populate the Miles input value when the time entry is transferred from OTL to BEE.
    Any advice on this would be very greatly appreciated.
    Many Thanks and have a great week
    Kev

    You need to follow these steps:
    1. Add the input values to your Element, check element link, include the element to your element set, run "generate flexfield process" with the above element set.
    2. Create mapping components for each of the new fields which you want to show on the timecard to let system know which column these attributes will get mapped to.
    3. Add the mapping components to your receiving application's Retrieval and Deposit process.
    4. Now modify your timecard layouts to show the additional fields you want to show.
    For detailed information, please ,follow the Note:207333.1
    Oracle Time & Labor Implementation and User Guide, Release 11i, September 2006

  • OTL: Additional Input Values on Timekeeper

    Hello team,
    I have a requirement where I need to have an additional field on Timekeeper form called "Unique Hours". For this I did the following steps:
    1. Created this additional input value in the relevant element.
    2. Added to element set and ran Generate... process.
    3. Created a value set.
    4. Registered the input value + value set in Alternate Name Mapping and then with Alternate Name Definition.
    5. Also put in the Alternate Names Definition for timekeeper.
    After doing this, I can see the new field on the TK screen and enter value in it but it doesnt transfer to BEE.
    I need some real help on this please.
    Thanks in advance...

    Have you defined the mapping component for the new input value and added it to the retrieval process?
    --Shiv                                                                                                                                                                                                                               

  • SEVERE: Message: [-3050]: Invalid timestamp format:ISO(input position 2)

    Hello!
    I'm installing a AS JAVA 2004S with EP/EP-CORE and BI_JAVA and got following error during Java Load step.   (The installation successfully creates and loads about 1000 tables prior the error).
    Regards,
    Fredrik
    serverXX:/usr/sap/TPQ/ciinst # more jload.java.log
    java version "1.4.2"
    Java(TM) 2 Runtime Environment, Standard Edition (build 2.2)
    IBM J9SE VM (build 2.2, J2RE 1.4.2 IBM J9 2.2 Linux amd64-64 j9xa64142-20061124 (JIT enabled)
    J9VM - 20061121_1035_LHdSMr
    JIT  - r7_level20061020_1803)
    May 24, 2007 11:39:52 AM com.sap.inst.jload.Jload main
    INFO: Jload -sec TPQ,jdbc/pool/TPQ,/usr/sap/TPQ/SYS/global/security/data/SecStore.properties,/usr/sap/TPQ/SYS/global/security/data/Se
    cStore.key -dataDir /a_stage/51032257/JAVA_EXPORT/JDMP -job /usr/sap/TPQ/ciinst/IMPORT.XML -log jload.log
    May 24, 2007 11:39:53 AM com.sap.inst.jload.db.DBConnection connectViaSecureStore
    INFO: connected to TPQ on jdbc/pool/TPQ
    May 24, 2007 11:39:53 AM com.sap.inst.jload.JobStatus readStatus
    INFO: trying to read status file /usr/sap/TPQ/ciinst/IMPORT.sta
    May 24, 2007 11:39:53 AM com.sap.inst.jload.JobStatus readStatus
    INFO: commencing restart
    May 24, 2007 11:39:53 AM com.sap.inst.jload.Jload dbImport
    INFO: trying to delete from table BC_UDDI_PARAM
    May 24, 2007 11:39:53 AM com.sap.inst.jload.Jload dbImport
    INFO: BC_UDDI_PARAM deleted
    May 24, 2007 11:39:53 AM com.sap.inst.jload.Jload dbImport
    SEVERE: DB Error during import of BC_UDDI_PARAM
    May 24, 2007 11:39:53 AM com.sap.inst.jload.Jload printSQLException
    SEVERE: Message: [-3050]: Invalid timestamp format:ISO(input position 83)
    May 24, 2007 11:39:53 AM com.sap.inst.jload.Jload printSQLException
    SEVERE: SQLState: I3050
    May 24, 2007 11:39:53 AM com.sap.inst.jload.Jload printSQLException
    SEVERE: ErrorCode: -3050
    May 24, 2007 11:39:53 AM com.sap.inst.jload.Jload dbImport
    INFO: trying to delete from table BC_XMLA_COL
    May 24, 2007 11:39:53 AM com.sap.inst.jload.Jload dbImport
    INFO: BC_XMLA_COL deleted
    May 24, 2007 11:39:53 AM com.sap.inst.jload.Jload dbImport
    SEVERE: DB Error during import of BC_XMLA_COL
    May 24, 2007 11:39:53 AM com.sap.inst.jload.Jload printSQLException
    SEVERE: Message: [-3050]: Invalid timestamp format:ISO(input position 2)
    May 24, 2007 11:39:53 AM com.sap.inst.jload.Jload printSQLException
    SEVERE: SQLState: I3050
    May 24, 2007 11:39:53 AM com.sap.inst.jload.Jload printSQLException
    SEVERE: ErrorCode: -3050
    May 24, 2007 11:39:53 AM com.sap.inst.jload.db.DBConnection disconnect
    INFO: disconnected
    serverXX:/usr/sap/TPQ/ciinst #

    Hi
    yes, I had the same issue and I found a solution.
    You need to request a patch for BUG 9212862 (already corrected in WLS 10.3.3) and do the follwing:
    javax.xml.ws.BindingProvider provider = (javax.xml.ws.BindingProvider)port;
    java.util.Map context = provider.getRequestContext();
    context.put(weblogic.wsee.jaxrpc.WLStub.POLICY_COMPATIBILITY_PREFERENCE, weblogic.wsee.jaxrpc.WLStub.POLICY_COMPATIBILITY_MSFT);      
    This will cause the SecurityMessageArchitect class of WLS to not send the SecurityTokenReference in the Soap security header.
    Please note that is evidently a non-comformity to the specs of microsoft:
    Please give a look at
    http://docs.oasis-open.org/wss/2004/01/oasis-200401-wss-soap-message-security-1.0.pdf (8.3 Signing Tokens)
    and also at:
    http://www.oasis-open.org/committees/download.php/16768/wss-v1.1-spec-os-SAMLTokenProfile.pdf
    (3.4 Identifying and Referencing Security Tokens)
    A SAML key identifier reference MUST be used for all (local and remote) references to SAML 1.1
    assertions. [...]
    All conformant implementations MUST be able to process SAML assertion references occurring in a
    <wsse:Security> header or in a header element other than a signature to acquire the corresponding
    assertion. A conformant implementation MUST be able to process any such reference independent of the
    confirmation method of the referenced assertion.
    It follows that the .NET 3.5 is a non conformat implementation: I would gladly know which is the position of Microsoft on that.
    ciao
    carlo

  • Uploading of cost center data with additional fields

    Hi Gurus,
    I have uploaded the cost center data. now my client has given same data with additional fields data(ORT01, ORT02, TXJCD). first time I have uploaded with LSMW IDOC methos COSMAS1. but in the same idoc the extra field not available. So i have tried recording method for KS02 to upload the data. but the data is not changed. in the sence the additional fields data not loading. while uploading i getting the probelm at TXJCD. it is getting a popup window. i was not able to handle that. Is there any other Basic IDOC to upload the data with all the fields in cost center. BAPI or Direct input program? Thanks in advance

    hi,
    you can  avoid the popup screen by using  OK code.
    ~linganna.

  • UK TV with scart input only - got it working, here's how

    For those of you that are in the same predicament and would like to know how to get the apple tv working with your 'old' tv with scart input only, here is the run down of how I managed to get in working.
    In order to display the component signals via a scart you would need to have a very expensive type of tv (one that allows YUV via scart)... i don't (you may, but it is VERY rare).
    Getting a component to scart lead will NOT work (RGB input not YpPpR).
    You will need a component to scart convertor. I have only found 2 that do the job as most convert the wrong way i.e. scart to component.
    the one I plumped for was the SYNCBLASTER RGB2C COMPONENT TO RGB TRANSCODER and can be bought from Keene Electronics : http://www.keene.co.uk/electronics/multi.php?mycode=RGB2C
    It costs £89.99 but it is worth it!
    You will also need a component lead (3 phono to 3 phono) (an adaptor is included with the transcoder that will allow you to plug it into the scart socket on the transcoder)
    You will also need a FULLY wired scart lead (i.e. ensure it has rgb capability - most have)
    You will also need to connect the audio up to an amplifier either via the two red/white connectors OR via the optical out to an appropriate input (I use the optical to my amplifier). You will NOT be able to transmit the audio via the scart.
    Once plugged in, you need to set the switches on the front of the transcoder to :
    1 down
    2 up
    3 down
    4 up
    Press in the button so the light shows amber(red)
    apply power to the apple tv, it should now startup and you should see a picture (if no picture is seen, wait until the white light displays on the front of the apple tv and HOLD MENU and + for 6 seconds, it will now cycle through the resolutions... click ok when you can view it).
    Works a treat, and is cheaper than buying a new HD TV!
    TO be honest, don't believe the bad press, the apple tv IS as good as you hoped it would be even without a HDTV....
    Shame that apple didn't offer an additional composite (yellow) output, this would have prevented so many problems for so many.
    for all its worth, I hope this helps someone.
    CD

    How is the quality of the picture? I bought the
    converter from J.S Technology:
    http://www.js-technology.com/product_info.php?cPath=22
    &products_id=52
    The picture on my Sony SD Widescreen TV is really
    dark on most of the movies I have - in most instances
    making it unwatchable - I am going to try re-ripping
    some DVDs paying attention to the resolution etc, but
    I am not convinced this is the issue. Many of my
    photos look far darker on the TV than they do on my
    MacBook Pro.
    I am going to take the AppleTV round to a friend who
    has an HD tv and try it there to see if there is an
    issue with the ATV. Failing that it is down to the
    component to RGB converter I suppose.
    What is other people's experience with the other
    conveters out there?
    Having forked out almost £100 and still not having a
    watchable Apple TV, I am a bit fed up.
    I called J.S Technology today, and they suggested restarting the converter - everything is now working great.
    Very pleased!

  • 400MHz DDR3 MIG with 25MHz input clock

    I’m generating a memory controller to interface our DDR3 with x16@400MHz and I need to clarify the clocking configuration of the MIG.
    From ug388 I’ve understood that the controller has a PLL to generate the necessary clocks and the MIG generates the default parameters (mult/div) assuming that the input clock is the same as that of the DDR bus, so 400MHz. I have 25MHz as input to the PLL. Ug388 states that we need to modify the following parameters to set the correct clocking for a different input:
    C1_CLKFBOUT_MULT
    C1_DIVCLK_DIVIDE
    C1_CLKOUT0_DIVIDE (for sysclk_2x)
    C1_CLKOUT1_DIVIDE (for sysclk_2x_180)
    C1_CLKOUT2_DIVIDE (for user clock)
    C1_CLKOUT3_DIVIDE (for calibration clock)
    From my understanding sysclk_2x is two times the DDR bus clock, so in this case 800MHz (seems extremely high for a Sp6!). Anyway the only way I can do this is to have
    C1_CLKFBOUT_MULT = 32 (Generate the 800MHz)
    C1_DIVCLK_DIVIDE = 1 (Generate the 800MHz)
    C1_CLKOUT0_DIVIDE = 1; 800MHz sysclk_2x
    C1_CLKOUT1_DIVIDE = 1; 800MHz sysclk_2x_180
    C1_CLKOUT2_DIVIDE = 4; (for user clock at 200MHz)
    C1_CLKOUT2_DIVIDE = 8; (for calibration clock at 100MHz)
    Is this correct? To be honest I’m worried about the 800MHz, but this is my interpretation from ug388. Also I’m worried about generating an 800MHz from a 25MHz source, wouldn’t jitter be a problem?
    I also find it strange right away for the MIG to assume by default an input (user) clock of 400MHz, as this is a very high frequency for a spartan6…
    Too many doubts, looking forward for your answers. Thanks!

    Hi
    I agree that is it strange to choose memory clock as input clock by default , it is improved in later versions like 7 series and Ultrascale but for older devices this is still a limitation.
    You should be able to generate 800 Mhz with out any issues, you can cross check in clocking wizard for jitter etc.,
    There should be an AR with more details on how to change the input clock for MCB,  but looks removed from web.
    Here is its content 
    "To modify the clocking setup to create the necessary MCB clocks from a different input clock frequency or to adjust the user or calibration clock frequencies, the following PLL parameters can be adjusted at the top level of the MIG example or user design:
    Cx_CLKFBOUT_MULT
    Cx_DIVCLK_DIVIDE
    Cx_CLKOUT0_DIVIDE (for sysclk_2x)
    Cx_CLKOUT1_DIVIDE (for sysclk_2x_180)
    Cx_CLKOUT2_DIVIDE (for user clock)
    Cx_CLKOUT3_DIVIDE (for calibration clock)
    where "x" represents the MCB block number.
    Cx_MEMCLK_PERIOD is mapped to the CLKIN1_PERIOD of the PLL and is also used to determine a number of other parameters defined in mcb_raw_wrapper.v/vhd. So, an additional input clock parameter should be used to specify the input clock frequency and it should be mapped to the CLKIN1_PERIOD of the PLL (instead of Cx_MEMCLK_PERIOD). This has already been included in MIG v3.6 so that users do not need to do this in the future.
    There are two options to determining the correct values for the other parameters listed above:
    Use the Clocking Wizard found in the Xilinx CORE Generator (Coregen) tool to determine the appropriate parameter settings based on the desired input and output clock frequencies for the PLL. Choose "Manual Selection" and the "PLL_BASE" primitive on the opening dialogue page to ensure that a PLL is used. Only the above parameter values produced by the Clocking Wizard should be transferred back into the MIG design; no other output from the Clocking Wizard is needed. The Clocking Wizard also determines the resulting output jitter from a specific PLL configuration that can be used to validate the main MCB system clocks against the memory device input clock jitter requirements.
    Refer to the PLL chapter in the Spartan-6 FPGA Clocking Resources User Guide (UG382) to verify the proper settings of the above parameters for the desired input and output clock frequencies for the PLL: http://www.xilinx.com/support/documentation/user_guides/ug382.pdf. This method requires a better understanding of such aspects as keeping the PLL VCO operating frequency within the specification.
    In addition to providing the parameter values for the various output clocks in design top module, the following changes are required to reflect to the MIG rtl environment:
    1. UCF changes
    MIG generates the clock constraints in the UCF for the design frequency provided in the MIG GUI. When the input clock frequency is changed, users need to change the design frequency in the UCF. In the below constraint, modify the period value.
    NET "memc5_infrastructure_inst/sys_clk_ibufg" TNM_NET = "SYS_CLK5"; TIMESPEC "TS_SYS_CLK5" = PERIOD "SYS_CLK5" 5 ns HIGH 50 %;
    2. Testbench (sim_tb_top.v/.vhd) Changes
    MIG provides the clock generation logic in the simulation testbench (sim_tb_top module) for the design frequency provided in the GUI. This logic needs to be modified to reflect the new input clock frequency:
    Original Verilog Code:
    always #(C3_MEMCLK_PERIOD/2) c3_sys_clk = ~c3_sys_clk;
    Modified Verilog Code:
    Instead of using the parameter C3_MEMCLK_PERIOD/2 in the above logic, the bit time period value needs to be provided. For example, for input clock frequency of 50MHz (20000 ps), C3_MEMCLK_PERIOD/2 should be replaced with a value of 10000. After making this change, thecode looks like the following:
    always #10000 c4_sys_clk = ~c4_sys_clk;
    Original VHDL Code:
    process
    begin
    c1_sys_clk <= not c1_sys_clk;
    wait for (C1_TCYC_SYS_DIV2);
    end process;
    Modified VHDL Code:
    For an input clock frequency of 50MHz, code looks as follows:
    process
    begin
    c1_sys_clk <= not c1_sys_clk;
    wait for (10 ns);
    end process;"
     Hope this helps
    -Vanitha

  • Creating a job for a procedure with an input parameter

    Hi,
    I want to create a job for a procedure ( sp_proc ) with a input parameter.
    The input parameter is a date value.
    As per the syntax for dbms_job.submit procedure;
    dbms_job.submit (
    job IN BINARY_INTEGER,
    what IN VARCHAR2,
    next_date IN DATE,
    interval IN VARCHAR2 DEFAULT 'NULL',
    no_parse IN BOOLEAN DEFAULT FALSE);
    How should the procedure be declared in the 'what' parameter of the dbms_job.submit procedure ?
    Please guide.
    Thanks.

    Hi,
    You are wright, I have found this thread [DBMS_JOB -- how to pass parameters to the job|http://asktom.oracle.com/pls/asktom/f?p=100:11:0::::P11_QUESTION_ID:351033761220].
    Regards,

  • I would like to know how i can create a bell graph with out using sub VIs, the data that i created consists in 500 readings with values of 0 to 100, i calculated the mean value and standard diviation. I hope some one can help me

    I would like to know how i can create a bell graph with out using sub VIs, the data that i created consists in 500 readings with values of 0 to 100, i calculated the mean value and standard diviation. I hope some one can help me

    Here's a quick example I threw together that generates a sort-of-bell-curve shaped data distribution, then performs the binning and plotting.
    -Kevin P.
    Message Edited by Kevin Price on 12-01-2006 02:42 PM
    Attachments:
    Binning example.vi ‏51 KB
    Binning example.png ‏12 KB

Maybe you are looking for

  • How to insert new image in ITS  HTML template Urgent

    Hi all, get point full point. i created new service and thats working fime but when i inserted image in this template , the image is not displaying in Browser. can any body tell me what is the default path of of the image. please help me out.. Regard

  • Solaris 10 Zones: Out of Memory

    I have struggled with installing Oracle 11.2 in a guest zone on Solaris 10 (SPARC). The machine has 16GB RAM and the zone is capped to 4GB, which ought to be enough; and project.max-shm-memory is 6GB for the default project. Still, when I try to run

  • Won't display messages in or out

    i am on a 2.0 macbook running leopard 10.5.1 and whenever i type a message into my ichat messenger and hit return to send, i don't get the usual blip and display of my message. I have tested it with others and they are receiving my messages. Also the

  • Changing standard SRM

    Hi all, Can anybody tell me what the best practice is for changing SAP standard BSPs in SRM? The specific example is the adding of 2 fields to the 'Preselect Suppliers' screen (BSP app. ROS_PRESCREEN). These are SAP standard fields, already populated

  • Operating level snapshot to prepare a test setup from a production database

    Hi , Can any one explain the detaild process about Operating level snapshot to prepare a test setup from a production database Thank You