Vivado simulator is hanging

Hi,
I began to learn VHDL, because in the nearer future, I will program a FPGA. At first, I started with ISE. From a tutorial, I got this program: 
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
entity TestLED is
    Port ( clk : in  STD_LOGIC;
           led : out  STD_LOGIC);
end TestLED;
architecture Behavioral of TestLED is
signal c:integer:=0;
signal x:STD_LOGIC:='0';
begin
    process begin
        wait until rising_edge(clk);
        if(c<24999998) then c <= c+1;
        else
            c <= 0;
            x <= not x;
        end if;
    end process;
    led <= x;       
end Behavioral;
ISE created this test bench:
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
ENTITY tb_TestLED IS
END tb_TestLED;
ARCHITECTURE behavior OF tb_TestLED IS
    -- Component Declaration for the Unit Under Test (UUT)
    COMPONENT TestLED
    PORT(
         clk : IN  std_logic;
         led : OUT  std_logic
    END COMPONENT;
   --Inputs
   signal clk : std_logic := '0';
     --Outputs
   signal led : std_logic;
   -- Clock period definitions
   constant clk_period : time := 10 ns;
BEGIN
    -- Instantiate the Unit Under Test (UUT)
   uut: TestLED PORT MAP (
          clk => clk,
          led => led
   -- Clock process definitions
   clk_process :process
   begin
        clk <= '0';
        wait for clk_period/2;
        clk <= '1';
        wait for clk_period/2;
   end process;
   -- Stimulus process
   stim_proc: process
   begin        
      -- hold reset state for 100 ns.
      wait for 100 ns;    
      wait for clk_period*10;
      -- insert stimulus here
      wait;
   end process;
END;
With the ISE simulator and a simulation time of 1 second, I quickly got my results. With Vivado 2015.2 and 64-bit Windows, I wasn't able to create automatically a test bench. So, I inserted the file which ISE created. Using the Vivado simulator, for some few hundred milliseconds, it needed a huge amount of time for the calculation. While this time my CPU usage was often at 0%. When I canceled the simulation, it did not stop and after a while I got a black window. So I had to shut down Vivado with my task manager. I still did not wait for Vivado to come to an end for an 1 second simulation. What's wrong with my programs, Vivado or with the configuration of Vivado?
Many greetings,
Andreas

Hi Bharath,
I think you misunderstood me. Running ISE and press "Run All", the simulation will run indefinitely. Doing the same with a simulation at Vivado, the simulation will stop after some hundreds milliseconds without any interactions from my side (CPU usage goes to 0%, the nanoseconds stop increasing, "Cancel" showes at the console, it was called, but the simulation does not end). So I found the strange behaviour, if I press "Zoom fit", it continues with the simulation. If I press something different than "Zoom Fit", it may occur that I get a black window and I have to shut down this application with the task manager. So, I come to the conclusion, there may be a bug at Vivado which relates to the graphics engine perhaps for special graphics cards (my adapter: AMD Radeon HD 7770) or there is something wrong with my system, what I still couldn't find out.
Many greetings,
Andreas

Similar Messages

  • Cannot launch vivado simulator 2015.1: behav/compile.bat' script "Please check that the file has the correct 'read/write/execute' permissions"

    Hi,
    I'm trying to run a verilog simulation using the vivado simulator 2015.1 on Windows 7.
    I get the following error when I attempt to launch simulation:    
    ERROR: [USF-XSim-62] 'compile' step failed with error(s) while executing 'D:/projects/axi/axi_test_system/axi_test_system.sim/sim_1/behav/compile.bat' script. Please check that the file has the correct 'read/write/execute' permissions and the Tcl console output for any other possible errors or warnings.
    The tcl console repeats the same message, "Please check that the file has the correct 'read/write/execute' permissions"
    I cannot find any problem with the permissions.  I believe that windows will always execute a .bat file.   Within the same project, I can run elaboration, synthesis and implementation without problems. 
    Any idea why the simulation compile script won't run?
    Thanks,
    Ed

    Hi,
    Thanks very much for your detailed reply. These were the right questions based upon what I told you.   
    However, I took the code home last night and ran it on my webpack 2014.2 release.   It still failed, but I got completely different error messages.   These messages correctly pointed me to an undeclared signal in my testbench. Once fixed, the compile worked and the simulator launched. 
    This morning, I fixed the signal name in my 2015.1 setup, and it also compiled and launched correctly. 
    So, the problem wasn't actually related to file permissions.  It seems like the 2015.1 error message may be broken compared to 2014.2.  
    I was running the Vivado GUI, clicking on "Simulate > Run Behavioral Simulation"
    Thanks again for your help. 
    Regards,
    Ed  
      

  • Simulation error : size mismatch in mixed language port association with VIVADO simulator

    Hi,
    I have instantiated a VHDL module in  a verilog top file . When I tried to simulate the verilog top , I received the following error .
    ERROR : Size mismatch in mixed language port association , vhdl port  vid_data
    (Simulation tool : VIVADO simulator . VIVADO ver : 2015.1)
    // Following is the instantiation of  VHDL module in verilog top file
    VPS  VPS_inst 
         .clk (VPS_clk),
         .reset_n(~user_reset),
         .vid_active_video(data_valid),
         .vid_data(data_to_mem)
    The port 'vid_data' is declared in the VHDL module as std_logic_vector (15 downto 0)
    "vid_data   : out std_logic_vector(15 downto 0)"
    'data_to_mem' is declared in verilog top file as  "wire  [15:0]   data_to_mem" . 
    No size mismatch exists actually . But , I am getting the above mentioned error in simulation.
    I have searched for similar threads . Nothing was useful . 
    Does anyone know how to solve this?
    Thanks and Regards
    Raisa
     

    You might also get this error if you mis-spelled "data_to_mem" such that the declaration did not match the instantiation port map.  For example:
    wire  [15:0] data__to_mem;  // double underscore before "to"
    VPS  VPS_inst 
         .clk (VPS_clk),
         .reset_n(~user_reset),
         .vid_active_video(data_valid),
         .vid_data(data_to_mem)  // only one underscore before "to"
    In Verilog this is not an error unless you disable automatic net inference.  In this case Verilog is happy to create a single wire for data_to_mem, but then you would be trying to attach a 1-bit wire to a 16-bit port.  That would also be valid in Verilog, but not allowed for connections to VHDL.
    I typically avoid this sort of error by placing:
    `default_nettype none
    at the top of each Verilog file, and
    `default_nettype wire
    at the bottom of each Verilog file.  This prevents the automatic creation of wires when you mis-spell or forget to declare nets.

  • Quickie Benchmark: Modelsim vs. Vivado Simulator

    Guys,
    In the hopes of finding a faster simulator I ran an unscientific benchmark on a portion of my design.
    I ran for 100,000 clock cycles which is enough to get a few hundred result samples.  Both simulators were run in interactive mode with the wave window open. Both simulators were run in their standard configuration without optimizations for speed. My design is FFT core heavy. Input and output is by textio.
    Run Times:
    Vivado Simulator = 3 minutes 0 seconds.
    Modelsim = 4 minutes 40 seconds
    Load times (startup) were about the same for both simulators.
    My conclusion is that I cannot reduce simulation times dramatically by just switching from Vivado Simulator to Modelsim.
     

    I have some more info on the long simulation time for FFT heavy simulations.
    Early in the development process I wanted to be able to display memory contents while debugging.  By default Vivado Simulator does not provide visibility into memories.  You have to set a property in order to make memory contents visible.
    set_property -name {xsim.elaborate.debug_level} -value {all} -objects [current_fileset -simset]
    I suspected that turning on this property was slowing down my simulation so I ran the same simulation with it enabled and with it commented out.
    Simulation time in my small experiment was reduced from 40 seconds to 8 seconds by commenting out this tcl command, a factor of 5 improvement.  I don't know if this improvement scales to long simulations but I suspect so.
    Beware of this setting when running long simulations.
     

  • Can we have a flush cach button in Vivado simulator PLEASE

    Im getting regularly a problem where what vivado seems to be working on is no the files edited externaly 
       no matter how long i leave vivado to catch up,
    just had oen where I went for lunch for 30 minutes, and the same symptoms, 
        the way to 'fix' this when one notices is to exit vivado, delete the cach and sim folders, and re start vivado.
    What I'm doing is a lot of testing / what iff stuff in vivado simualtor.
    lots of changing a few bits of code, and run the simulator, to see on gui and files written to the changes, 
       a lot of what if stuff.
    So yes I'm doing lots of "re launch simulator " button pressing.
    file are edited outside of Vivado, in fact the source VHDL files are not on the vivado machine, and the editing is done via another machine that the source is stored on.
    The symptoms are, you do a change to the RTL , and press the re launch, and the output of the sim you get looks like it has not changed, when you expected it to have. 
    you do a few more significant changes, and re launch, and the same. the output has not changed, 
       Vivado is using the files it has 'in memory'.
    If I quit, clear and re launch, I find that the simulator declares an error. 
        this las time I had missed off a ; of an end of lin in vhdl.
    obvious, BUT
       when I was doing re launch, vivado was NOT flaging that up, but carrying on and giving us a new GUI , but using the old RTL.
    It just seems that the CACHE gets out of sync. But vivado simulator seems to compile all files every time it re launches,
       confusing.
     

    Yes I think this makes sense. I will check if we can file a enhancement for this.
    Thanks for bringing this to us.
    Regards
    Sikta

  • How to show waveform in Vivado simulation window? The waveform is absent for some signals.

    Hi,
    I have the following verilog code:
    `timescale 1ns / 1ps
    module top(
    reg clk = 1;
    always
    #1.25 clk <= ~clk;
    wire temp;
    //wire temp2; // added later
    assign temp = clk;
    //assign temp2 = clk; //added later
    endmodule
     When I simulate this, I see "CLK" as expected but "temp" just has the value 1 and no waveform. When I uncomment the lines marked as "//added later", I can see "CLK" and "temp2" waveforms as expected. But "temp" is still stuck at 1 and there's not waveform for it.
    Then I commented the lines marked as "//added later" again and there's not waveform for "temp". Then I renamed "temp" to "temp2" and simulated. This time I could see "CLK" and "temp2" waveforms in the simulation window toggling as expected.
    It could be that I'm just not seeing the waveforms. For example in the first scenario, I'm just seeing a "1" and no waveform. There are also some other signals that when added to the wave window don't show a waveform. How can I enable the waveform?
    Thanks,
     

    I tried using a separate module for clock generation as you suggested. In my top level module I instantiate two clocks "CLK_20MHz" and "CLK_25MHz". When I simulate I can see the waveform of both clocks. Then, from the clock module, I add the register "CLK". However, I see no waveforms for "CLK". But when I add "$monitor("%d,\t%b",$time, CLK);" inside the clock module, I can see in the logs that "CLK" is actually toggling as expected. I don't know why the simulation window doesn't show the waveform? And how I can show/enable the waveform?  

  • Vivado simulation - block memory module failure

    Hi,
    I'm simulating a project with my own IPs. one of the IPs has a block memory generator (8.2).
    The simulation stops after 35 ns and tck message:
    Block Memory Generator module TOP030815.design_1_i.golgol_0.U0.blk_mem_gen_GOLAY_inst.inst.native_mem_module.blk_mem_gen_v8_2_inst is using a behavioral model for simulation which will not precisely model memory collision behavior.
    Failure: ERROR:add_1 must be in range [-1,DEPTH-1]
    Time: 35 ns Iteration: 2
    $finish called at time : 35 ns : File "../../../project_1.srcs/sources_1/ipshared/ornim.medical/golgol_v1_0/a6138b30/hdl/golgol_v1_0.vhd" Line 93
    xsim: Time (s): cpu = 00:00:05 ; elapsed = 00:00:34 . Memory (MB): peak = 980.820 ; gain = 37.723
    INFO: [USF-XSim-96] XSim completed. Design snapshot 'TOP030815_behav' loaded.
    what is the problem? 
    Thanks,
    Danna

    Did you use the AXI4 interface for the block memory generator IP?
    This kind of failure is typically seen when master and slave AXI are not initialized. e.g. when the slave TVALID and TDATA are in an 'U' or 'X' state.
    You need to firstly examine the testbench (or the AXI bus drivers) and ensure they're all initialized.
    For instance,
      -- Data slave channel signals
      signal s_axis_data_tvalid              : std_logic := '0';  -- payload is valid
      signal s_axis_data_tready              : std_logic := '1';  -- slave is ready
      signal s_axis_data_tdata               : std_logic_vector(15 downto 0) := (others => '0');  -- data payload
      -- Data master channel signals
      signal m_axis_data_tvalid              : std_logic := '0';  -- payload is valid
      signal m_axis_data_tdata               : std_logic_vector(23 downto 0) := (others => '0');  -- data payload

  • Vivado 2015.2: Simulation and synthesis reverse bit order of std_logic_vector in logical operators

    In both simulation and synthesis the logical operators on std_logic_vector bit-reverse the operands in the result, at least in the case where the result of the expression is passed to a function.
    I suspect this issue applies to other operators as well, though I have only tested the problem with the logical operators. I also suspect the issue exists in other situations where a function is not involved. 
    This is incompatible with both ModelSim simulation and XST synthesis and it breaks a lot of our code.
    The attached xsim7.vhd example shows the issue. The xsim7.tcl script will run the Vivado simulation. Under Windows, the xsim7.bat will run the whole thing. The xsim7.xpr project file allows synthesis under Vivado, and you can look at the schematic to see the issue in the synthesized netlist.
    The source module also simulates under ModelSim and synthesizes under XST, and these show what I believe to be correct behavior.
    Can someone please verify this error and either file a CR or tell me to file an SR?
    Ian Lewis
    www.mstarlabs.com

    Hello Bharath,
    When I said "what was index 'LOW becomes index 'HIGH" I meant the 'LOW of the source operands and the 'HIGH of the result, independent of the actual index range. I would have no problem with any 'HIGH and 'LOW on the result of the operator as long as the direction matched the left source operand, though personally I would prefer the same 'HIGH and 'LOW as that of the left operand.
    Looking at the IEEE implementation of logical "or" on std_logic_vector from package std_logic_1164: https://standards.ieee.org/downloads/1076/1076.2-1996/std_logic_1164-body.vhdl:
    -- or
    FUNCTION "or" ( l,r : std_logic_vector ) RETURN std_logic_vector IS
    ALIAS lv : std_logic_vector ( 1 TO l'LENGTH ) IS l;
    ALIAS rv : std_logic_vector ( 1 TO r'LENGTH ) IS r;
    VARIABLE result : std_logic_vector ( 1 TO l'LENGTH );
    BEGIN
    IF ( l'LENGTH /= r'LENGTH ) THEN
    ASSERT FALSE
    REPORT "arguments of overloaded 'or' operator are not of the same length"
    SEVERITY FAILURE;
    ELSE
    FOR i IN result'RANGE LOOP
    result(i) := or_table (lv(i), rv(i));
    END LOOP;
    END IF;
    RETURN result;
    END "or";
    the operator does exactly what Vivado seems to be doing: alias the downto source vectors to a to range of 1 to 'LENGTH and then return a vector of 1 to 'LENGTH. This both bit reverses the downto indexes (the alias on the source operands does that) and changes the range to "to" with a 'LOW value of 1.
    This gives us the 'LEFT 1 and 'RIGHT 4 we see.
    So far, I have found no definition from IEEE of what logical operators, such as "or", are supposed to do on std_logic_vector except as defined by this piece of code for "or" and the other operators' associated bodies from the std_logic_1164 package.
    What this code does seems like a horrible decision about how to implement the logical operators on std_logic_vector with respect to range direction, but it is compatible with what Vivado does, and incompatible with what XST does. (I had never investigated this issue before because what ModelSim and XST did made perfect sense to me.)
    That the assignment  (Result := s)  works as expected, makes sense. The assignment of the "to" range s to the "downto" range Result maintains the 'LEFT relationship. That is, Result'LEFT (index 3) receives s'LEFT (index 1). So, the bits are reversed a second time.
    That ISIM does not match what XST does seems like a defect no matter how you look at it. Your simulation can never match your synthesis if you care about the range direction inside a function (and I suspect in other places too). This deserves an SR or CR if you are still doing any work on those two tools. I think you may not be.
    Are you able to find out whether Vivado was changed from XST on purpose with respect to the behavior of logical operators?
    If this is "as designed" then I have to start working on updating our code to live with it. But, if this is something that happened by accident, and you will change Vivado to match XST, then I probably want to wait. Updating our code to live with this behavior is going to be a pretty big job.
    Thank you for your help,
    Ian

  • [USF-XSim-62] 'elaborate' step failed with error(s) at vivado 2015.2 Behavioural Simulation

     [USF-XSim-62] 'elaborate' step failed with error(s). Please check the Tcl console output or 'C:/xx/axi_pci/axi_pci.sim/sim_1/synth/func/elaborate.log' file for more information.

    As stated above, please provide more information if you need any inputs from our end. As it is Vivado Simulator, you can also refer to the Messages tab and see what is the error. Most of the time if elaboration fails then it is possible that some modules were missing or the component/entity binding has failed or the compilation order is not proper. You can also refer to the compile.log to see if there are any warnings. All the logs can be found in the sim_1/behav folder.

  • Simulation engine failed to start: A valid license was not found for simulation

    Hi,
    I'd like to run xsim by clicking "Run Simulation" in Vivado 2015.2 . However, in the Tcl console I see this error:
    INFO: [Common 17-186] '/home/rob/xilinx-projects/bright_proj/bright_proj.sim/sim_1/behav/xsim.dir/ProgNetwork_behav/webtalk/usage_statistics_ext_xsim.xml' has been successfully sent to Xilinx on Mon Jul 13 16:01:02 2015. For additional details about this file, please refer to the WebTalk help file at /home/rob/sw/xilinx/xilinx-build/Vivado/2015.2/doc/webtalk_introduction.html.
    INFO: [Common 17-206] Exiting Webtalk at Mon Jul 13 16:01:02 2015...
    run_program: Time (s): cpu = 00:00:08 ; elapsed = 00:00:10 . Memory (MB): peak = 6669.582 ; gain = 0.000 ; free physical = 763 ; free virtual = 18006
    INFO: [USF-XSim-4] XSim::Simulate design
    INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/home/rob/xilinx-projects/bright_proj/bright_proj.sim/sim_1/behav'
    INFO: [USF-XSim-98] *** Running xsim
    with args "ProgNetwork_behav -key {Behavioral:sim_1:Functional:ProgNetwork} -tclbatch {ProgNetwork.tcl} -log {simulate.log}"
    INFO: [USF-XSim-8] Loading simulator feature
    Vivado Simulator 2015.2
    ERROR: [Simtcl 6-50] Simulation engine failed to start: A valid license was not found for simulation. Please run the Vivado License Manager for assistance in determining which features and devices are licensed for your system.
    Please see the Tcl Console or the Messages for details.
    However, if you look at the attached image, you'll see that my installed licenses include the license name "Simulation".
    Why is Vivado complaining about "Simulation engine failed to start: A valid license was not found for simulation" ?
    Thank you,
    Rob
     

    Hi Rob,
    The reason for the license error is: Hostid mismatch. i.e., the hostid of the machine is different from the hostid in the license file.
    I observe that the license file is generated with hostid: 52540019ee7e. You can cross check your hostid of the machine by running the command: lmutil lmhostid
    You can rehost the license file using the steps mentioned in the section "Rehost or change the license server host for a license key file" in the following user guide: http://www.xilinx.com/support/documentation/sw_manuals/xilinx14_5/irn.pdf
    Thanks,
    Vinay

  • Vmware not fully recognizing USB-9213

    Hi All:
    I am running VMware Fusion 2.0.7 hosting WinVista SP2.  I plug in a USB-9213, and I only get a partial recognition of the device.  I get the New Hardware Found for the 9216 carrier module, but never the same for the 9213 module connected in the carrier.  Of course this means DAQmx and MAX don't see the device to let me use it.  I can install successfully a virtual 9213 in MAX, but I can't get the real device fully installed.  VMWare is running in Mac OS 10.5.8, and the USB is configured so VMWare can see it and use it as the partial New Hardware Found path seems to show.  Any ideas how to get through the last hurdle?  I know the USB-9213 is good, since it installs and runs fine in WinXP machine I have access to.
    bj

    Hi Brad:
    I just finished upgrading to MAX 4.6.2 and DAQmx 9.0.2.  (Should I look for even newer versions?)  This represents progress, as the NI-9213 is now seen in Device Manager (and System Profiler on the Mac side), but it is still not seen in MAX.  I could create a simulated NI-9213 in my older versions, and I still can, but the one I create now does not work while the old simulated one did work.  The new simulated NI-9213 always fails Self-Test.  (And deleting the simulated device hangs MAX.  It can be deleted only on the restart of MAX)  The fact that I could create a working simulated NI-9213 under the older DAQmx version 8.8 had led me to believe that I had a new enough version.  The basic issue is still there though, I can not see the real NI-9213 in MAX, so I can not use it in LabVIEW.  It does not matter if I have the simulated NI-9213 present or not in MAX, the real one is never seen there, but it is always seen by Device Manager now.  The simulated one has also gone invisible to LabVIEW while the older one could be used there.  By the way, sorry for being dyslexic yesterday when I kept typing 9123 instead of 9213.
    bj

  • 2014.4.1 stuck at Executing elaborate step ...

    I have relative small design, about 3% of Kintex7-325t.
    While implementation from scratch takes about 1.5hrs. Simulation seems to stuck at "Executing elaborate step..."
    elaborate.log shows:
    Vivado Simulator 2014.4
    Copyright 1986-1999, 2001-2014 Xilinx, Inc. All Rights Reserved.
    Running: C:/Xilinx/Vivado/2014.4/bin/unwrapped/win64.o/xelab.exe -wto 1cd677141e17408cacabe67d79cb63de --debug typical --relax -L fifo_generator_v12_0 -L xil_defaultlib -L blk_mem_gen_v8_2 -L xbip_utils_v3_0 -L xbip_pipe_v3_0 -L xbip_bram18k_v3_0 -L mult_gen_v12_0 -L unisims_ver -L unimacro_ver -L secureip --snapshot tb_Top_behav xil_defaultlib.tb_Top xil_defaultlib.glbl -log elaborate.log
    Multi-threading is on. Using 6 slave threads.
    Starting static elaboration
    Completed static elaboration
    Starting simulation data flow analysis
    Completed simulation data flow analysis
    I have no idea, what's going on. Tool setup seems to fine, since I can simulate dummy testing design.
    Please advise how to troubleshoot this.
    Thanks,

    Thanks a lot for looking into my issue.
    I am quite certain, my design source confused tool somehow; My project is messy uncompleted code base with a lot of critical warnings. But it implemented with some timing failure.
    I was expecting just to get behavior simulation. I also reduced testbench to just one process of $display and clock generation.
    I've tried 2015.1 same stuck. I was expecting to be able to pull a bit more info or trouble shooting log. Any verbose log?
    But on the other side, simulation kicks off quickly in ModelSim.
    Will keep investigating and share my finding here.

  • Rtl co simulation licensing issue with vivado hls 2015.2

    ERROR: [Simtcl 6-50] Simulation engine failed to start: A valid license was not found for simulation. Please run the Vivado License Manager for assistance in determining which features and devices are licensed for your system.
    I am using 30 day evaluation, c simualtion and c synthesis are workikng but rtl cosimulation not working

    Hi
    Vivado evaluation license includes HLS license.
    http://www.xilinx.com/products/design-tools/vivado/vivado-webpack.html
    Please attach the license file along with report_environment.

  • Regarding Clocking wizard and Simulation clock generation - document and their uses VIVADO IPI

    While working with Vivado IPI , I came across two different IPs, one is simulation clock and the other is clocking wizard IP.
    But got error while generating wrapper of these IPs with the steps I did , I am unable to instantiate the IP of simulation clock generation version 1 of 2014.4.1 vivado. And get error "Clk gen" not found.
    So, can you please just give me correct direction whether these can be used  together, that is input of clocking wizard IP is simulation clock generation IP ( please correct if i am wrong) or I need to make external port in clocking wizard and assign Y9 pin of zedboard to that.
    In brief, I want to know the uses of these IPs w.r.t to some sequential design , please just elaborate theoretical example even.
     

     What do you want to do? Simulation only CLOCK Generator is only for simulation purpose.
    Can you please just give me correct direction whether these can be used  together, that is input of clocking wizard IP is simulation clock generation IP 
    --> No
    I need to make external port in clocking wizard and assign Y9 pin of zedboard to that
    --> Yes, Use the cloking Wizard 
     

  • Captivate 6 Hangs while recording video demo and software simulation

    I am running captivate 6 on windows 7 SP1 64 bit operating system. Captivate 6 hangs whenever I tried to record video demo or software simulation. I am running captivate 6 as an administrator.

    Hi Peekay,
    Thank you for contacting Adobe Support.
    Please use the following steps and let us know if it solves the issue:
    1) Try recreate preferences for Adobe Captivate (For this close captivate and navigate to the location C:\Users\your account name\AppData\Roaming\Adobe\Captivate and rename the captivate folder)
    2) Try creating a new Admin user account on your system and then try the simulation.
    Thanks and Regards
    Loveesh

Maybe you are looking for